SDRAM自動刷新

该博客详细介绍了SDRAM自动刷新的原理,包括刷新行间隔、时钟设置、预充电命令等关键参数,并提供了SDRAM自动刷新模块的Verilog代码实现,通过计数器和线性序列机完成预充电、自动刷新和空操作命令的发送,最终在仿真testbench中验证了模块的正确性。
摘要由CSDN通过智能技术生成

 

                                                                                

  1. //SDRAM自動刷新
  2. //刷新行間隔  64 ms / 4096 行 = 0.00_0015 s
  3. //clk = 50M Hz
  4. //SDR_CLK = 50M Hz (一般可設置為100M Hz以上)
  5. //tRP = 20 ns, 取30 ns
  6. //tRFC = 66 ns, 取100 ns
  7. //預充電命令  {CS_N,RAS_N,CAS_N,WE_N} = 4'b0010
  8.  
  9. module sdr_auto_r(
  10.      clk,                        //系統時鐘
  11.      rst,
  12.      auto_done,
  13.      auto_SDR_CLK,   //SDRAM時鐘
  14.      auto_CKE,            //時鐘使能
  15.      auto_CS_N,          //片選信號
  16.      auto_RAS_N,       //行地址選通
  17.      auto_CAS_N,       //列地址選通
  18.      auto_WE_N,         //寫使能
  19.      auto_BA,              //Bank地址
  20.      auto_SA,              //地址總線
  21.      auto_DQM,          //數據掩碼
  22.      auto_DQ,             //數據總線
  23.      auto_en
  24. );
  25.  
  26. input   clk,rst;
  27. input   auto_en;
  28.  
  29. output auto_done;
  30. output auto_SDR_CLK;
  31. output auto_CKE;
  32. output auto_CS_N;
  33. output auto_RAS_N;
  34. output auto_CAS_N;
  35. output auto_WE_N;
  36. output [1:0] auto_BA;
  37. output [12:0] auto_SA;
  38. output [1:0] auto_DQM;
  39.  
  40. inout [15:0] auto_DQ;
  41.  
  42. reg [3:0] auto_cnt;
  43. reg auto_done;
  44. reg auto_CKE;
  45. reg auto_CS_N;
  46. reg auto_RAS_N;
  47. reg auto_CAS_N;
  48. reg auto_WE_N;
  49. reg [12:0] auto_SA;
  50.  
  51. //====================================================================
  52. // 計數器
  53. //=======
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值