DDS直接數字頻率合成器

本文介绍了一个FPGA实现的DDS模块,通过输入的频率控制字和相位控制字,产生相应的输出数据。DDS核心包括相位累加器、相位平移和ROM查表,用于生成所需频率的信号。在测试模块中,设置了不同参数来验证DDS的功能。
摘要由CSDN通过智能技术生成

                                                    

  1. module DDS(
  2.      clk,           //50M Hz
  3.      rst_n,
  4.      en,            //使能
  5.      F_word,   //頻率控制字
  6.      P_word,   //相位控制字
  7.      DA_data  //輸出數據
  8. );
  9. input clk;         
  10. input rst_n;
  11. input en;
  12. input [31:0] F_word; 
  13. input [11:0] P_word; 
  14. output [11:0] DA_da
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值