2. 用vcs 和vedi 编译 仿真验证环境

这个路径里面有三种方法

VCS dump fsdb 波形_hh199203的博客-CSDN博客_dump fsdb

1. 自己试验过的成功的案例。 路径:  /home/sechen/Documents/pratice/ex1  

如果需要dump 波形的话

a. 首先需要在tb 顶层文件中加入下面的代码(最后一个DMA 的没加也可以dump 成功)

b. 用下面的命令编译仿真

    vcs -R +v2k -debug_access+all -sverilog -f file.f -l run.log +DUMP_FSDB

-R 表示编译完之后立马运行

+v2k 表示 支持

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值