Vivado使用教程,简易教程

·前言

        课程需要简单设计一个加法器,需要使用VerilogHDL,下面以模拟一个加法器介绍使用vivado过程。

·创建新工程

        create project

        设置工程文件名以及路径(路径名中不能包含中文)

        选择FPGA芯片(根据自己情况选择)

                Add or create design sources

                Create File

        选择文件类型Verilog,输入文件名

      完成上述步骤之后,点击Add Source,进入之后创建自己的文件。完成之后如下:

        


·编辑run_adder.v文件,直接上图:

        

·添加管脚约束,点击Open Elaborated Design,然后点击上方菜单栏Window下的I/O Ports

        

配置Ports信息:

下图是刚刚生成的run_addr.xdc文件:

·时间约束

        点击 Run Synthesis,然后OK,然后等待完成弹出窗口后点击Cancle

        上图为还在进行 synthesis,下图完成:

        

        之后点击 open synthesized design 下的 constraints wizard

        

       由于本次的加法器中并不需要时钟,所以该项可以忽略。

·生成BIT文件

        点击Program and debug 下的 generate bitstream

        

        由于本次实验只是进行虚拟仿真,所以弹出下面窗口时点击取消

        

·然后右键点击

会弹出下列界面,根据需要设置时长,然后点击apply:

·点击project manager下的add sources,然后根据下图进行选择:

        

点击creat file:

        然后点击OK,点击Finish,弹出窗口让添加I/O,先不选择,点击cancle,点击Sources下的 simulation_Source下刚刚生成的仿真激励文件vtf_run_adder_test,进行编辑:

        

下面是代码:

`timescale 1ns / 1ps

module vtf_run_adder_test;
    
    //define signal
    reg a, b, cin;
    wire sum, cout;
    
    //instantiating the tested module
    run_adder DUT(
        .a(a),
        .b(b),
        .cin(cin),
        .sum(sum),
        .cout(cout)
    );
    
    //initialization
    initial begin
        
        a = 0;
        b = 0;
        cin = 0;
        
        //print
        $display("a=%d, b=%d, cin=%b, sum=%b, cout=%b", a, b, cin, sum. cout);
        
        //excitation signal
        #10 a = 1;  //after 10 time unit, a = 1
        #10 b = 1;  //after additional 10 time unit, b = 1
        #10 cin = 1;    //after additional 10 time unit, cin = 1
        
        $display("a=%d, b=%d, cin=%b, sum=%b, cout=%b", a, b, cin, sum. cout);
        
        //stop simulation before it ends
        #10 $finish;
    end
endmodule

        保存之后,点击Run Simulation

仿真之后查看波形图:

后续深入的学习,等我学习之后有时间再记录。

  • 10
    点赞
  • 23
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Vivado的使用手册是一份详细的技术文档,提供了关于Vivado软件的全面指导和说明。你可以从赛灵思(Xilinx)官方网站上获取最新版本的Vivado使用手册。以下是一些常用的Vivado使用手册: 1. Vivado Design Suite User Guide: 这是Vivado软件的主要用户指南,涵盖了Vivado的基本概念、工作流程、设计方法和工具使用。它包括了从项目创建到综合、实现、验证和生成比特流文件等方面的详细说明。 2. Vivado Design Suite Tutorial: 这是一个实践指南,提供了一系列教程和实例,帮助你快速上手使用Vivado进行FPGA设计和开发。通过这些教程,你可以学习到Vivado的各种功能和工具的使用方法。 3. Vivado Design Suite Release Notes: 这是Vivado软件的发行说明,详细列出了每个版本的新功能、改进和修复的问题。阅读这些发行说明可以让你了解最新版本的Vivado带来了哪些变化和增强功能。 4. Vivado Design Suite User Constraints Guide: 这是约束文件(Constraints)的使用手册,详细介绍了如何编写和使用约束文件来定义设计的时序和物理约束。 5. Vivado Design Suite Tcl Command Reference Guide: 这是Vivado软件的Tcl命令参考手册,提供了Vivado中各种命令和选项的详细说明。Tcl命令是Vivado中进行批处理和自动化操作的重要工具。 你可以通过赛灵思官方网站上的文档和资源页面,下载以上手册的最新版本。此外,赛灵思还提供了在线文档、视频教程和社区论坛等资源,可供你深入学习和了解Vivado的使用。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值