Verilog快速入门(12)—— 使用8线-3线优先编码器Ⅰ实现16线-4线优先编码器

Verilog快速入门

(1) 四选一多路器
(2)异步复位的串联T触发器
(3)奇偶校验
(4)移位运算与乘法
(5)位拆分与运算
(6)使用子模块实现三输入数的大小比较
(7)4位数值比较器电路
(8)4bit超前进位加法器电路
(9)优先编码器电路①
(10)用优先编码器①实现键盘编码电路
(11)8线-3线优先编码器
(12)使用8线-3线优先编码器实现16线-4线优先编码器
(13)用3-8译码器实现全减器
(14)使用3-8译码器①实现逻辑函数
(15)数据选择器实现逻辑函数
(16)状态机
(17)ROM的简单实现
(18)边沿检测


使用8线-3线优先编码器Ⅰ实现16线-4线优先编码器


一、题目描述

请使用2片该优先编码器Ⅰ及必要的逻辑电路实现16线-4线优先编码器。优先编码器Ⅰ的真值表和代码已给出。
可将优先编码器Ⅰ的代码添加到本题答案中,并例化。
优先编码器Ⅰ的代码如下:

module encoder_83(
   input      [7:0]       I   ,
   input                  EI  ,
   
   output wire [2:0]      Y   ,
   output wire            GS  ,
   output wire            EO    
);
assign Y[2] = EI & (I[7] | I[6] | I[5] | I[4]);
assign Y[1] = EI & (I[7] | I[6] | ~I[5]&~I[4]&I[3] | ~I[5]&~I[4]&I[2]);
assign Y[0] = EI & (I[7] | ~I[6]&I[5] | ~I[6]&~I[4]&I[3] | ~I[6]&~I[4]&~I[2]&I[1]);

assign EO = EI&~I[7]&~I[6]&~I[5]&~I[4]&~I[3]&~I[2]&~I[1]&~I[0];

assign GS = EI&(I[7] | I[6] | I[5] | I[4] | I[3] | I[2] | I[1] | I[0]);
//assign GS = EI&(| I);
         
endmodule

8线-3线优先编码器Ⅰ的功能表见上一篇

输入描述:
input [15:0] A ,
input EI
输出描述:
output wire [3:0] L ,
output wire GS ,
output wire EO

二、解析与代码

两片8-3优先编码器实现16-4优先编码,考虑使用低八位EO控制第八位EI,当高八位进行八线三线编码时,低八位输出始终为000,当高八位输入为0时,低八位进行八线三线编码,高八位输出始终为000,因此输出L[2:0]即为高低输出按位相或,L[3]即为GS1。

`timescale 1ns/1ns
module encoder_83(
   input      [7:0]       I   ,
   input                  EI  ,
   
   output wire [2:0]      Y   ,
   output wire            GS  ,
   output wire            EO    
);
assign Y[2] = EI & (I[7] | I[6] | I[5] | I[4]);
assign Y[1] = EI & (I[7] | I[6] | ~I[5]&~I[4]&I[3] | ~I[5]&~I[4]&I[2]);
assign Y[0] = EI & (I[7] | ~I[6]&I[5] | ~I[6]&~I[4]&I[3] | ~I[6]&~I[4]&~I[2]&I[1]);

assign EO = EI&~I[7]&~I[6]&~I[5]&~I[4]&~I[3]&~I[2]&~I[1]&~I[0];

assign GS = EI&(I[7] | I[6] | I[5] | I[4] | I[3] | I[2] | I[1] | I[0]);
//assign GS = EI&(| I);
         
endmodule 

module encoder_164(
   input      [15:0]      A   ,
   input                  EI  ,
   
   output wire [3:0]      L   ,
   output wire            GS  ,
   output wire            EO    
);
wire [2:0] X,Z;
wire EO1,EO2,GS1,GS2;
encoder_83 encoder_83_inst1(
    .I(A[15:8]),
    .EI(EI),

    .Y(X[2:0]),
    .GS(GS1),
    .EO(EO1)
);
encoder_83 encoder_83_inst2(
    .I(A[7:0]),
    .EI(EO1),

    .Y(Z[2:0]),
    .GS(GS2),
    .EO(EO2)
);
assign GS = GS1 | GS2;
assign EO = EO1 & EO2;
assign L[3] = GS1;
assign L[2:0] ={ X[2] | Z[2], X[1] | Z[1],X[0] | Z[0]};

endmodule
//EI = 1 表示允许编码,否则所有输出端被封锁在高电平(控制芯片工作)
//EO = 1 表示电路工作,但无编码输入(用于级联)
//GS = 1 表示电路工作,且有编码输入(判断输入端是否有输入)
//画真值表
  • 2
    点赞
  • 15
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值