用Verilog设计一个8位二进制加法计数器,带异步复位端口,进行综合和仿真。

用Verilog设计一个8位二进制加法计数器,带异步复位端口,进行综合和仿真。

module BinaryCounter8Bit(
  input wire clk,      
  input wire rst,      
  output wire [7:0] count 
);

reg [7:0] count; 

always @(posedge clk or negedge rst) begin
  if (!rst) begin
    count <= 8'b0; 
  end else begin
    count <= count + 1; 
  end
end

assign count = count; 

endmodule

点此处编译
在这里插入图片描述

综合

在这里插入图片描述

仿真

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
这里还需将rst置1才有效

  • 可以在clk=0;后加rst=1;
  • 或者在clk=0;后加rst=0;
    并且在#DELY clk=~clk;后加#(DELY*20) rst=~rst;

仿真即可
在这里插入图片描述

  • 4
    点赞
  • 21
    收藏
    觉得还不错? 一键收藏
  • 3
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值