Spartan-6 ax309学习日记5——流水灯代码解析

目录

一、框架

二、逻辑

三、语法

四、完整代码


一、框架

  1. 时延定义:延时时间单位和物理时间相关联
  2. 定义模块
    module project_name
    
    ………………
    
    
    endmodule
  3. 定义端口:时钟输入clk、复位输出rst_n(_n表示低电平有效)、流水灯输出led
  4. 定义变量:32位寄存器用于计数,可以达到较长的时间周期

二、逻辑

计数器和复位部分

  • 当复位信号 为低电平时,计数器 被清零。
  • 否则,当计数器 达到100000000时,它会被重新设置为0。
  • 在其他情况下,计数器 递增1。

 控制流水灯部分:

  • 当复位信号 为低电平时,流水灯 被全部熄灭。rst_nled
  • 否则,根据计数器 的值,流水灯 的状态会发生变化
  • 通过计数器控制时间,从而实现了流水灯效果。每个流水灯的亮灭时间和顺序由计数器控制,周期性地循环展示不同的流水灯效果

三、语法

  1. always @(posedge clk or negedge rst_n)表示在时钟上升沿或复位信号下降沿触发
  2.  计数器timer是寄存器类型的(reg),led输出也是reg类型的

四、完整代码

`timescale 1ns / 1ps

module led_test ( 
						clk, // inputs clock
						rst_n,
						led // output led
 ); 
//=============================================================

// PORT declarations
//=============================================================

input clk;
input rst_n;
output [3:0] led;

reg [31:0] timer;
reg [3:0] led;

 always @(posedge clk or negedge rst_n)
	 begin
		 if (~rst_n)
			timer <= 0;
		 else if (timer == 100000000) //计数器
			timer <= 0;
		 else
			timer <= timer + 1; 
	 end
 always @(posedge clk or negedge rst_n)
	 begin
		 if (~rst_n)
			led <= 4'b0000;
		 else if (timer == 25000000) //计数 25000000, led2 亮
			led <= 4'b0010;
		 else if (timer == 50000000) //计数 50000000, led3 亮
			led <= 4'b0100;
		 else if (timer == 75000000) //计数 75000000, led4 亮
			led <= 4'b1000; 
		 else if (timer == 100000000) //计数 100000000, led1 亮
			led <= 4'b0001; 
	 end
endmodule
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值