system Verilog中定宽数组,动态数组,关联数组,队列分析比较

1、定宽(定长、定维)数组

①声明的时候就开辟了空间,大小被显式的指定。按存储方式分为合并数组非合并数组

合并数组也叫压缩数组,维度定义都在标识符前面,维度按从左到右依次是高维到低维。(具有预定义宽度的整数类型不能作为合并数组,如integer,int,byte等)

非合并数组也叫非压缩数组,任意一个维度定义在标识符之后。标识符后边为高纬度,标识符前面为低纬度,标识符同侧还是遵循左高维右低维的原则。

2、动态数组

①需要使用new[]来动态开辟空间,可以自由指定大小,也可以赋值给数据类型相同的静态数组。

②存储空间只有在运行时被new[]显式分配,才能存在。

3、

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值