VHDL实现8位十进制加法器

设计文件

module bcd_adder(
    input [3:0] A,
    input [3:0] B,
    input Cin,
    output reg [3:0] Sum,
    output reg Cout
);
    reg [4:0] temp;
    
    always @(A, B, Cin) begin
        temp = A + B + Cin;
        if(temp > 9) begin
            Sum = temp + 6;
            Cout = 1;
        end
        else begin
            Sum = temp;
            Cout = 0;
        end
    end
endmodule

module decimal_adder(
    input [7:0] A,
    input [7:0] B,
    output [7:0] Sum,
    output Cout
);
    wire [3:0] sum_low, sum_high;
    wire cout_low, cout_high;
    
    bcd_adder low_adder (
        .A(A[3:0]),
        .B(B[3:0]),
        .Cin(0),
        .Sum(sum_low),
        .Cout(cout_low)
    );
    
    bcd_adder high_adder (
        .A(A[7:4]),
        .B(B[7:4]),
        .Cin(cout_low),
        .Sum(sum_high),
        .Cout(cout_high)
    );
    
    assign Sum = {sum_high, sum_low};
    assign Cout = cout_high;
endmodule

测试文件 

`timescale 1ns / 1ps

module decimal_adder_vlg_tst;
  reg [7:0] A, B;
  wire [7:0] Sum;
  wire Cout;


  decimal_adder uut (
    .A(A), 
    .B(B), 
    .Sum(Sum), 
    .Cout(Cout)
  );

  initial begin
    
    A = 8'd0;
    B = 8'd0;

    
    #10 A = 8'd12; B = 8'd34;  
    #10 A = 8'd78; B = 8'd56;  
    #10 A = 8'd90; B = 8'd10;  

    #10 $stop;
  end

 
  initial begin
    $monitor($time, " ns, A=%d, B=%d : Sum=%d, Cout=%b", A, B, Sum, Cout);
  end
endmodule

  • 0
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

没手更行

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值