Quartus II 实现偶分频

期末裸考系列之 偶分频(10分频)

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity DIV is
	port (clk : in std_logic;
           k : out std_logic); 
end entity;
architecture one of DIV is 
	signal c : std_logic_vector(2 downto 0);
	signal m : std_logic;
begin
process(clk, c)  begin 
	if rising_edge(clk) then
	if (c = "100") then c <= "000";m <= not m; else c <= c+1; end if;

	end if; 
end process;

	k <= m;
end  one;

  • 8
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值