ModelSim仿真代码覆盖率分析方法

在用ModelSim做硬件设计验证的时候,需要了解待测设计(DUT)的代码覆盖率(code coverage),这就需要用到ModelSim自带的Code Coverage功能,ModelSim代码覆盖率功能Code coverage,能报告出statement(语句) 、branch(分支)、condition(条件)、 expression(表达式)、toggle(信号反转)、fsm(有限状态机)等多种覆盖率情况,进一步提高了测试的完整性。
下面以序列检测器代码覆盖率仿真为例进行说明。
步骤1:编译选项(compile options)
在ModelSim的Workspace里选中需要查看代码覆盖率的文件,使用Ctrl键选择多个文件,然后点击右键选择compile→compile prperties→coverage,选择前四项(statement,brances,condition,expression)。 在这里插入图片描述

步骤2:编译(compile)
对所选择的文件进行编译,可在命令行窗口敲compile -all,也可在编译菜单里选择compile all。
步骤3:仿真(simulate )
在命令行窗口输入vsim -voptargs=+acc -coverage work.test,然后run -all。或者simulate→start simulate→Others UI界面下勾选enable code coverage选项run-all,如下图所示:
在这里插入图片描述

步骤4:查看结果(Instance coverage)
此时,在Instance coverage和file窗口均能看到代码覆盖率结果,如下图所示:在这里插入图片描述

此时可以看到testbench的覆盖率已为100%,sequ_dtcr的覆盖率为97.74%,从右侧文件窗口可以看到尚未走到的分支为状态机的default分支,default分支为防止状态机进入意外状态的设置,正常情况下不会进入该状态。在这里插入图片描述

步骤5:强制进入非法状态
为验证default分支是否按设计意图正常执行,让代码覆盖率达到100%,可以强制使状态机进入一个非法状态(未定义状态),此时可以在Wave窗口选中current_state信号,右键Force然后在Value项填入一个非法状态比如8’h03,如下图所示。也可脚本键入:force -freeze sim:/test/sequ_dtcr_t0/current_state 8’h03 0 在这里插入图片描述

然后脚本位置键入run 100ns。再在Wave窗口选中current_state信号,右键NoForce,或脚本键入:noforce sim:/test/sequ_dtcr_t0/current_state,再次键入run 100ns。此时可以看到sequ_dtcr的覆盖率已变为100%。 在这里插入图片描述

文本窗口位置default语句前面也变为绿色的对号。

总结

本文仅仅简单介绍ModelSim仿真代码覆盖率分析的使用方法,适用于初学者进行学习。

  • 8
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
好的,下面是一个简单的 Verilog 二选一多路选择器的 ModelSim 仿真代码。在这个例子中,我们使用了 Testbench 来模拟输入信号,并将输出信号连接到输出端口。 Verilog 代码: ```verilog module mux_2to1(input a, b, sel, output y); assign y = sel ? b : a; endmodule module tb_mux_2to1; reg a, b, sel; wire y; mux_2to1 uut(a, b, sel, y); initial begin a = 0; b = 1; sel = 0; #10 sel = 1; #10 a = 1; #10 b = 0; #10 sel = 0; #10 $finish; end endmodule ``` 在这个代码中,我们定义了一个 Testbench 模块 `tb_mux_2to1`,它包含了一个 Verilog 二选一多路选择器的实例 `uut`。在 `initial` 块中,我们设置了输入信号,并在一些时钟周期后更改它们的值。最后,我们使用 `$finish` 命令结束仿真ModelSim 仿真代码: 1. 打开 ModelSim。 2. 创建一个新的工程。 3. 添加上面的 Verilog 代码文件到工程中。 4. 在工程中添加 Testbench 模块文件 `tb_mux_2to1.v`。 5. 编译工程。在 ModelSim 的主窗口中,单击 "Compile" 按钮。 6. 打开波形查看器。在 ModelSim 的主窗口中,单击 "Simulate" -> "Start Simulation"。 7. 添加信号到波形查看器。在波形查看器中,单击 "Add Wave" 按钮。 8. 运行仿真。在波形查看器中,单击 "Run" 按钮。 9. 查看仿真结果。在波形查看器中,可以看到输入和输出信号的波形图。 这就是 Verilog 二选一多路选择器的 ModelSim 仿真代码。希望对您有所帮助!

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

windyqiao

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值