verilog 从入门到看得懂---verilog 介绍

verilog介绍

Verilog HDL 是一种硬件描述语言,HDL是 hardware description language发缩写。可以用于数字电子下系统的设计。通俗点说就是在设计数字芯片或着使用cpld 或者fpga的时候用到,比如在设计电路的时候,如果要试一下一个计数功能,不适用硬件描述语言,就需要自己亲自选择元器件进行设计,如下图所示:

但是当你选择使用verilog的时候可以通过下面的语言实现,通过用编程语言更加直观的表现运行的逻辑,并且可以尽量分离硬件和算法,减小工作的复杂度。

module Count_1
(
    input               clk                 ,
    input               rst_n               ,
    output reg [ 3:0]   cnt
);
reg [ 3:0]              cnt_n                ;

always @(*)begin
    if(cnt == 4'd9)
        cnt_n = 4'd0; 
    else
        cnt_n = cnt + 1'b1;//实现累计
end
always @(posedge clk or negedge rst_n)begin
    if(!rst_n)
        cnt <= 4'b0;
    else
        cnt <= cnt_n;//  控制时许
end

endmodule
 

verilog HDL 起始于1983年,后来有cadence公司收购,candence 公司对verilog 进行了公开,之后IEEE 一直对verilog 进行升级和维护,目前最新的有system verilog

VHDL语言

目前比较流行的硬件描述语言当属verilog 和VHDL,两者具体区别如下:

VHDL 开始主要是军方使用,并且VHDL 相比verilog 更加的难以学习和理解,所以现在verilog是主流,对fpga开发或者数字芯片设计的可以优先学习verilog。

工程中实际作用:

fpga 具有很强的灵活性和并行运算的能力,在很多场合普通的单片机(比如st或者ti的mcu)不能满足特点需求,在笔者从事的电机行业,如果使用普通的单片机进行电机控制,控制频率会被限制在10k的数量级,但是如果使用fpga进行控制则控制周期可以达到100k。减小系统的延迟,这可以大大的提高系统带宽,所以高端的伺服控制器都会加配FPGA。

对于数字芯片行业,在芯片实际生产前,都会需要对算法进行测试,这个时候就会用verilog语言先实现算法,然后把算法下载到fpga中,在fpga 中进行功能测试。提前发现问题,减小开发bug

 

  • 4
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

DKZ001

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值