简易波形发生器VHDL代码Quartus仿真

本文介绍了使用VHDL编写的简易波形发生器,支持方波、三角波和正弦波输出,通过波形选择信号控制。展示了在Quartus环境下进行的工程文件、程序文件、原理图和仿真实现过程。
摘要由CSDN通过智能技术生成

名称:简易波形发生器VHDL代码Quartus仿真(文末获取)

软件:Quartus

语言:VHDL

代码功能:

简易波形发生器

1、可输出方波、三角波、正弦波三种类型的波形

2、通过开关控制输出哪种类型

1. 工程文件

2. 程序文件

3. 原理图

4. 程序编译

5. RTL图

6. 仿真图

部分代码展示:

LIBRARY ieee;
   USE ieee.std_logic_1164.all;
   USE ieee.std_logic_unsigned.all;
ENTITY wave_generation IS
   PORT (
      sys_clk         : IN STD_LOGIC;--输入时钟    
      wave_select     : IN STD_LOGIC_VECTOR(1 DOWNTO 0);--波形选择
      wave_data     : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)--波形输出
   );
END wave_generation;
ARCHITECTURE behaviour OF wave_generation IS
   --波形发生模块
COMPONENT carrier_wave IS
      PORT (
         clk             : IN STD_LOGIC;
         triangular_wave : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
         square_wave     : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
         sin_wave        : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
      );
   END COMPONENT;
--3选1模块,00输出0;01-方波;10-三角波;11-正弦波,wave_select控制3选1
COMPONENT MUX_31 IS
   PORT (
         triangular_wave : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
         square_wave     : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
         sin_wave        : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
         wave_select     : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
         wave_data       : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)--波形输出
   );
END COMPONENT;
   SIGNAL triangular_wave   : STD_LOGIC_VECTOR(7 DOWNTO 0);
   SIGNAL square_wave     : STD_LOGIC_VECTOR(7 DOWNTO 0);
   SIGNAL sin_wave        : STD_LOGIC_VECTOR(7 DOWNTO 0);
BEGIN
源代码

 扫描文章末尾的公众号二维码

  • 14
    点赞
  • 16
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值