基于FPGA的DDS产生正弦波设计Verilog代码VIVADO仿真

名称:基于FPGA的DDS产生正弦波设计Verilog代码VIVADO仿真(文末获取)

软件:VIVADO

语言:Verilog

代码功能:

DDS产生正弦波

使用ROM设计DDS信号发生器,产生正弦波

1. 工程文件

2. 程序文件

3. 程序编译

4. RTL图

5. Testbench

6. 仿真图

部分代码展示:

`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2021/04/11 16:31:34
// Design Name: 
// Module Name: DDS_top
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//
module DDS_top(
    input sclk,//时钟
    input rst_n,//复位
    output [7:0] wave//波形
    );
    
wire [7 : 0] addra;//ROM地址    
wire [15 : 0]douta;//ROM数据
//地址累加模块
addr_ctrl i_addr_ctrl(
. sclk(sclk),//时钟
. rst_n(rst_n),//复位
. addra(addra)//ROM地址  
    );
//调用ROM IP核    
ROM_16x256 i_ROM_16x256 (
      .clka(sclk),    // input wire clka
      .addra(addra),  // input wire [7 : 0] addra
      .douta(douta)  // output wire [15 : 0] douta
    );
    
assign  wave= douta[7:0];//输出8位波形  
endmodule
源代码

 扫描文章末尾的公众号二维码

  • 4
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值