【覆盖率采样 & 交叉覆盖率_2024.01.29】

bin

记录每个数值被捕捉到的次数,衡量功能覆盖率的基本单位。
域:可能数值的个数
覆盖率:采样值的数目除以bin的数目
若采样变量的域范围过大而又未指定bin,则系统默认分配64个bin,将值域范围平均分配给64个bin。

// bin的数量创建
covergroup covport;
	option.auto_bin_max = 8; // 所有coverpoint auto_bin数量=8
	coverpoint tr.port
	{option.auto_bin_max = 2;} // 特定coverpoint auto_bin数量=2
endgroup
// bin的创建
covergroup CovKind;
	coverpoint tr.kind {
		bins zero = {0}; // 1个仓代表kind==0
		bins lo = {[1:3], 5}; // 1个仓代表1:3和5
		bins hi[] = {[8:$]}; // 8个独立的仓代表8:15
		bins misc = default; // 1个仓代表剩余的所有值
	} // 没有分号
endgroup
// bin的忽略
bit [2:0] low_ports_0_5; // 只使用数值0-5
covergroup CoverPort;
	coverpoint low_ports_0_5 {
	ignore_bins hi = {[6,7]}; // 忽略数值6-7
	}
endgroup
// bin的非法报错
bit [2:0] low_ports_0_5; // 只是用数值0-5
	covergroup CoverPort;
	coverpoint low_ports_0_5 {
	illegal_bins hi = {[6,7]}; // 如果出现6-7便报错
	}
endgroup
// 条件覆盖率,关键词iff给coverpoint添加条件
covergroup CoverPort;
	coverpoint port iff
	(!bus_if.reset);
endgroup
//可使用start和stop函数来控制
initial begin
	covport ck = new();
	#1ns;
	ck.stop();
	bus_if.reset = 1;
	#100ns bus_if.reset = 0;
	ck.start();
	...
end
// 翻转覆盖率
covergroup CoverPort;
	coverpoint port {
	bins t1 = {(0 => 1), (0 => 2), (0 => 3)};
	}
endgroup
// Wildcard覆盖率,使用关键字wildcard来创建多个状态或者翻转。
bit [2:0] port;
covergroup CoverPort;
	coverpoint port {
	wildcard bins even = {3'b??0};
	wildcard bins odd = {3'b??1};
	}
endgroup

交叉(cross)覆盖率

class Transaction;
	rand bit [3:0] kind;
	rand bit [2:0] port;
endclass
Transaction tr;
covergroup CovPort;
	kind: coverpoint tr.kind;
	port: coverpoint tr.port;
	cross kind, port;
endgroup
// 排除部分cross bin,使用ignore_bins、binsof和intersect分别指定coverpoint和值域
ignore_bins hi = binsof(port) intersect {7};
ignore_bins md = binsof(port) intersect {0}&&
binsof(kind) intersect {[9:11]};
ignore_bins lo = binsof(kind.lo);
// 精细化的交叉覆盖率
bins a0b0 = binsof(a.a0) && binsof(b.b0);
bins a1b0 = binsof(a.a1) && binsof(b.b0);
bins b1 = binsof(b.b1); 
bins a0b0 = binsof(a) intersect {0} && binsof(b) intersect {0};
bins a1b0 = binsof(a) intersect {1} && binsof(b) intersect {0};
bins b1 = binsof(b) intersect {1};
单个实例的覆盖率option.per_instance = 1;
覆盖次数限定option.at_least=2;
覆盖率目标option.goal = 90;
// covergroup方法
sample():采样
get_coverage()/get_inst_coverage():获取覆盖率,返回0-100的real数值。
set_inst_name(string):设置covergroup的名称。
start()/stop():使能或者关闭覆盖率的收集。

覆盖率汇总

在这里插入图片描述
在这里插入图片描述
merge命令将生成的vdb文件生成总的merge.vdb文件,使用dve -full64 -dir meige.vdb命令查看总覆盖率

  • 2
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

iKUNqa

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值