set_property PACKAGE_PIN M14 [get_ports led]//引脚连线
set_property PACKAGE_PIN N15 [get_ports rst]
set_property PACKAGE_PIN U18 [get_ports clk]
set_property IOSTANDARD LVCMOS33 [get_ports led]//电平标准
set_property IOSTANDARD LVCMOS33 [get_ports rst]
set_property IOSTANDARD LVCMOS33 [get_ports clk]
赛灵思引脚约束文件 .xdc
于 2022-05-24 15:41:31 首次发布