FPGA搭积木之边沿检测电路

1前言

  今天分享一个FPGA设计中很常用的边沿检测电路,并参数化封装成自己的IP核。该电路的作用是输入一个信号,在其上升沿或者下降沿时(可选)输出一个时钟周期脉冲。时序图如下:

2.原理

  利用FPGA中寄存器的特性,即在always块中使用非阻塞赋值’<=',将输入待边沿检测电路打一拍,然后与下一个周期的输入做逻辑运算得检测结果。

  上升沿:前一个周期为低电平,后一个周期为高电平。那么,有

edge_pluse = ~edge_din_r & edge_din
  下降沿:前一个周期为高电平,后一个周期为低电平。那么,有

edge_pluse = ~edge_din_r & edge_din

3.代码

`timescale 1ns / 1ps

module edge_detection(
	input		clk			,
	input		edge_din	,
	output		edge_pluse
    );
	
parameter	POSEDGE = 1'b1;//1为上升沿检测,0为下降沿检测
reg			edge_din_r;	

always @(posedge clk)
	edge_din_r <= edge_din;

assign edge_pluse = POSEDGE  ? (~edge_din_r & edge_din) : edge_din_r & ~edge_din;
	
endmodule

4仿真

`timescale 1ns / 1ps
module edge_detection_tb;
parameter T = 10;
reg			clk				;
reg			edge_din		;
wire		pos_edge_pluse  ;
wire		neg_edge_pluse  ;

edge_detection #(.POSEDGE(1'b1))
u_edge_detection0(
	.clk		(clk			),	
	.edge_din	(edge_din		),
	.edge_pluse (pos_edge_pluse )
);
edge_detection #(.POSEDGE(1'b0))
u_edge_detection1(
	.clk		(clk			),	
	.edge_din	(edge_din		),
	.edge_pluse (neg_edge_pluse )
);
always #(T/2) clk = ~clk;

initial begin
	clk = 1'b0;
	edge_din = 1'b0;
	#(10*T)
	edge_din = 1'b1;
	#(10*T)
	edge_din = 1'b0;
end
endmodule

  仿真结果如下:

  学习FPGA的时候很多常用的模块可以将其参数化,形成自己的ip,以后方便调用。做FPGA设计是一个逐渐积累的过程。相比于官方提供的封闭的IP核,自己设计的IP核虽然性能比不过,但是更灵活,方便进行个性化修改。FPGA其实就像搭积木一样,只要自己的代码库够丰富,设计只会越来越轻松!点击下面链接查看合集

此合集持续分享一些笔者自己设计的可复用硬件模块点击进入:FPGA搭积木

  • 6
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
FPGA中,边沿检测电路主要用于检测信号的上升沿或下降沿,并给出相应的指示信号。边沿检测电路的实现方法有多种,其中一种方法是通过寄存器和逻辑门的组合来实现。具体步骤如下: 1. 首先,将需要检测边沿的信号延迟一拍,得到延迟后的信号。 2. 然后,将延迟后的信号与原始信号进行逻辑运算,以检测出上升沿或下降沿。例如,对于上升沿检测电路,可以使用逻辑与门将延迟后的信号与原始信号的取反进行逻辑与运算。 3. 最后,将逻辑与门的输出作为边沿检测电路的输出信号。 这样,当输入信号发生上升沿或下降沿时,边沿检测电路将给出相应的信号指示。 需要注意的是,在实际应用中,为了确保稳定性,可以采用多级寄存器来实现边沿检测电路。例如,使用两级或三级寄存器来锁存结果,以避免亚稳态的影响。通过多级寄存器的组合,可以提高电路的稳定性和可靠性。 总结起来,FPGA边沿检测电路可以通过延迟信号、逻辑运算和寄存器的组合来实现。这样的电路能够检测输入信号的上升沿或下降沿,并给出相应的指示信号。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *2* *3* [FPGA基础设计(—):边沿检测电路](https://blog.csdn.net/weixin_47421560/article/details/123011273)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 100%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值