【Verilog语法1】加载存储器$readmemh和$readmemb函数的使用

$readmemh和 $readmemb函数的使用

Verilog 提供了很多可以对文件进行操作的系统任务。
文件开、闭:$fopen, $fclose, $ferror

文件写入:$fdisplay, $fwrite, $fstrobe, $fmonitor

字符串写入:$sformat, $swrite

文件读取:$fgetc, $fgets, $fscanf, $fread

文件定位:$fseek, $ftell, $feof, $frewind

存储器加载:$readmemh, $readmemb

本节讲述$readmemh和 $readmemb函数的使用

系统任务调用格式及说明
加载十六进制文件$readmemh(“fname”, mem, start_addr, finish_addr)
加载二进制文件$readmemb(“fname”, mem, start_addr, finish_addr)

fname 为数据文件名字
mem 为数组型/存储器型变量
start_addr、finish_addr 分别为起始地址和终止地址
start_addr、finish_addr 可以省略,此时加载数据的停止条件为存储器变量 mem 被填充完毕,或文件读取完毕
文件内容只应该有空白符(或换行、空格符)、二进制或十六进制数据
注释用"//"进行标注,数据间建议用换行符区分

举例代码如下:

   //6 load mem
   reg [31:0]   mem_load [3:0] ;
   initial begin
      #50 ;
      $readmemh("./DATA_WITHNOTE.HEX", mem_load);
      $display("Read memory1: %h", mem_load[0]) ;
      $display("Read memory2: %h", mem_load[1]) ;
      $display("Read memory3: %h", mem_load[2]) ;
      $display("Read memory4: %h", mem_load[3]) ;
   end

其中文件的内容如下:
在这里插入图片描述

运行结果如下:
在这里插入图片描述
本文参考链接:
https://www.runoob.com/w3cnote/verilog2-file.html

  • 1
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

逍遥xiaoy

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值