自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(21)
  • 收藏
  • 关注

原创 温湿度采集与OLED显示

软件I2C(也称为Bit-Banging I2C)是一种通过软件方法实现I2C通信协议的方式。在没有专用硬件I2C接口的微控制器或系统中,开发者可以通过编程直接控制通用的数字输入/输出(GPIO)引脚的电平变化,来模拟I2C总线的时序信号,包括启动条件、停止条件、应答位、数据位等。硬件I2C是指微控制器或系统中集成的专用硬件模块,用于实现I2C(Inter-Integrated Circuit)通信协议。

2024-06-18 22:31:59 705

原创 基于I2C协议的OLED显示(利用U82G库)

在stm32.project\OLED\MDK-ARM目录下新建文件HARDWARE,同时在Keil中添加HARDWARE组和U8g2组,在组HARDWARE中新建stm32_u8g2.c、stm32_u8g2.h、test.c和test.h文件,在U8g2中添加已存在的所有文件。在stm32.project\OLED\MDK-ARM目录下新建文件夹U8g2,并将下载的U8g2->csrc目录下的源码复制到该文件夹下。1、了解I2C协议的基本原理和时序协议;这个函数,所以留下这个函数,其他函数删掉。

2024-06-09 20:42:47 770

原创 uC-OS-III多任务程序

提取码:3123。

2024-06-08 19:26:44 989

原创 定时器与PWM的LED控制

深入了解STM32定时器原理,掌握脉宽调制pwm生成方法。

2024-05-31 16:24:03 416

原创 HAL库点亮LED灯

在main.c文件主函数的while循环中写如下代码。注:编译烧录后需等待一段时间工程才会实现(可能)其他操作与一的实验相同,这里不再进行阐述。

2024-05-22 19:54:45 541 1

原创 中断编程入门

LED.c文件​//定义一个GPIO_InitTypeDef类型的结构体变量//开启GPIOB时钟//选择PB5所以引脚//设置引脚输出模式为浮空输入//设置输出速度为50MHz//调用初始化库函数初始化GPIOB端口​LED.h文件​​#endif​Exti_key_.c文件​​Exti_key_.h文件​​#endif​mian.c文件​​= RESET)led = ~led;​。

2024-05-17 18:00:27 734

原创 STM32串口通信

1、了解串口协议和RS-232标准;2、了解RS232电平与TTL电平的区别;3、了解"USB/TTL转232"模块(以CH340芯片模块为例)的工作原理;

2024-05-12 19:22:40 751

原创 STM32F103的LED亮灭之版本1(寄存器地址版)

1、了解STM32f103c8t6最小核心板的主要引脚接口;2、掌握Keil 开发stm32程序的环境搭建和设置;3、使用GPIO引脚,外接LED灯,编写程序让LED灯周期性亮灭。

2024-05-05 16:45:15 260

原创 单片机定时器与串口通信

Keil源码如图所示:在Proteus仿真软件中实现Proteus仿真图如图所示:Priteus仿真演示如图所示:原理:用2位数码管显示计时时间,最小计时单位为“百毫秒”,计时范围0.1~9.9s。当第1次按一下计时功能键时,秒表开始计时并显示;第2次按一下计时功能键时,停止计时,将计时的时间值送到数码管显示;如果计时到9.9s,将重新开始从0计时;第3次按一下计时功能键,秒表清0。再次按一下计时功能键,则重复上述计时过程。Keil源码如图所示:在Proteus仿真软件上实现原理图如图所示:Ke

2024-04-20 13:16:38 340 1

原创 单总线温度采集

DS18B20介绍:DS18B20是美国DALLAS公司生产的数字温度传感器,体积小、低功耗、抗干扰能力强。可直接将温度转化成数字信号传送给单片机处理,因而可省去传统的信号放大、A/D转换等外围电路。DS18B20转换时间与分辨率有关。当设定为9位时,转换时间为93.75ms;设定10位时,转换时间为187.5 ms;当设定11位时,转换时间为375ms;当设定为12位时,转换时间为750ms。

2024-04-17 16:08:32 1081

原创 中断与定时计数

中断技术主要用于实时监测与控制,要求单片机能及时地响应中断请求源提出的服务请求,并快速响应与及时处理。当中断请求源发出中断请求时,如中断请求被允许,单片机暂时中止当前正在执行的主程序,转到中断服务处理程序处理中断服务请求,处理完中断服务请求后,再回到原来被中止的程序之处(断点),继续执行被中断的主程序。定时器模式是对系统时钟信号经12分频后的内部脉冲信号(机器周期)计数。由于系统时钟频率是定值,可根据计数值计算出定时时间。两个定时器/计数器属于增1计数器,即每计一个脉冲,计数器增1。

2024-04-06 18:56:01 1104 1

原创 汇编程序与周期性程序设计

1、深入了解汇编指令和常用程序结构,掌握汇编语言的两中定时方法,即多重循环技术定时法和利用循环和Nop指令结合的定时法。2、掌握汇编语言的查表方法。3、掌握普中单片机实验开发板的开发使用方法。

2024-03-24 19:21:19 570

原创 基于SDCC和Edsim51的代码仿真

百度网盘打开,解压自定义安装及即可提取码:3123。

2024-03-16 16:11:11 796 1

原创 51单片机架构与汇编指令

51单片机架构与汇编指令

2024-03-07 21:30:52 891 1

原创 Verilog编程基础练习

wire类型用以表示以assign关键字指定的组合逻辑,其模块的输入和输出端口类型都默认为wire类型,wire类型相当于物理连线,默认初始值是高组态;reg类型表示的寄存器类型,always模块内被赋值的信号,必须定义为reg型,代表触发器,常用于时序逻辑电路,reg类型相当于存储单元,默认初始值是未知状态;3-8译码器中使用always语句,应该使用reg类型的输出模块,若替换成wir类型,那么综合器会报错。

2023-12-17 21:51:45 399 1

原创 触发器电路仿真与硬件实现

用 logisim采用门电路或者74LS00设计基本RS、D触发器和维持阻塞D触发器,进行仿真,写成真值表。在控制台上,用硬件连线完成RS触发器、D触发器和维持阻塞D触发器的实际电路,对真值表进行验证并记录。

2023-11-18 22:23:45 5214 2

原创 编码器—解码器电路设计以及Multisim软件仿真

编码器—解码器电路设计以及Multisim软件仿真

2023-11-16 15:16:20 1954

原创 组合逻辑电路的分析与设计

掌握组合逻辑电路的分析与设计。掌握真值表、逻辑函数聚表达式、卡诺图化简基本感念和方法。

2023-10-29 15:36:28 95 1

原创 基本逻辑门电路硬件验证与仿真分析

实验1和实验2的本质就是利用与非门来解决问题。通过实验1和实验2,我们能更加充分地认识与非门的作用,同时更深一步地交接与非门的用法。

2023-10-24 13:25:19 691 1

原创 程序编译环境设置

Ubuntu系统下程序编译环境设置

2023-10-21 16:35:18 75 1

原创 实现求解4位二进制数的补码

链接:https://pan.baidu.com/s/1iAOZSHjGgcMG5mnfm14vLg?下载Logisim软件及其运行环境,打开并默认安装Java运行环境JDK,在运行Logisim。全加器功能:在1bit半加器基础上,根据收到的低位进位信号,输出和s和进位输出cout。(2)负数的补码则是将其原码除符号位外的所以为取反(0变1,1变0),最后在第0位加1。半加器功能:将两个一位二进制数相加,无法处理进位信息。(1)正数的补码与原码相同;

2023-10-20 14:50:47 312

2聚合板块包括不包括火车v拒绝后v就

2聚合板块包括不包括火车v拒绝后v就

2024-05-31

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除