SRAM的verilog实现

1.single port sram

module single_port_sram
#(parameter D_WIDTH = 8,A_WIDTH = 4)
(
input clk,
input reset_n,
input csen_n,
input wren_n,
input [A_WIDTH-1:0] addr,
input [D_WIDTH-1 :0] wdata,
output reg [D_WIDTH-1 :0 ] rdata
);

reg [D_WIDTH-1 :0] mem [2**A_WIDTH-1:0];

always @(posedge clk or negedge reset_n)begin
if(~reset_n)begin
for (i=0 ; i<2**A_WIDTH;i=i+1)
    mem[i]<={D_WIDTH{1'b0}};
end
else if(!csen_n & !wren_n)
    mem[addr] <= wdata;
end


always@(posedge clk)begin
if(wren_n&(!csen_n))
rdata<=   mem[addr];
else
rdata <= {D_WIDTH {1'bz}};
end
endmodule

 

  • 3
    点赞
  • 24
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值