Verilog学习1——三目运算符

系列文章目录

Verilog学习1——三目运算符



三目运算符

assign a = b ? c : d

等同于
if(b == true)
	a = c;
else
	a = d;

相当于选择结构,对’?'前的内容进行判定,若为ture则选择c,若为false则选择d。可多层嵌套使用。

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Verilog中的三目运算符可以以简洁的方式实现条件赋值。使用三目运算符的一种常见写法是`data = A ? B : C`,它等同于if-else语句的功能。如果A为真,则将B赋值给data;否则将C赋值给data。 在Verilog的进阶示例中,我们可以看到另一种使用三目运算符的方式。通过case语句,根据sel的值选择对应的输入信号赋值给mux_out_reg,而不是直接使用三目运算符进行条件赋值。 综上所述,Verilog中的三目运算符可以用于条件赋值,以简洁的方式根据条件选择不同的值赋给目标变量。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *2* [Verilog学习记录3——三目运算符](https://blog.csdn.net/qq_41780295/article/details/128461163)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *3* [verilog三目运算符](https://blog.csdn.net/AI_vvv/article/details/121909353)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值