Verilog学习6——加法器

系列文章目录

Verilog学习1——三目运算符
Verilog学习2——与门(按位与和逻辑与)
Verilog学习3——向量
Verilog学习4——取反与移位运算
Verilog学习5——门电路
Verilog学习6——加法器


一、全加器与半加器

1、全加器

全加器是指将两个输入数据(a, b)以及进位输入相加,产生进位输出与求和结果的加法器电路。全加器的逻辑表达式为:

module full_adder(input a, input b, input cin, output sum, output cout);
assign sum = a^b^cin;
assign cout = (a&b)|((a^b)&cin);
endmodule

2、半加器

半加器是指将两个输入数据(a, b)相加,产生进位输出与求和结果的加法器电路。半加器的逻辑表达式为:

module half_adder(input a, input b,  output sum, output cout);
assign sum = a^b;
assign cout = a&b;
endmodule

二、纹波进位加法器、选择进位加法器、加法减法器、BCD加法器

1、纹波进位加法器

Module add
add16已给出是一个16位加法器,利用add16实现32位加法。

module top_module(
    input [31:0] a,
    input [31:0] b,
    output [31:0] sum
);
    wire [15:0] sum1, sum2;
    wire [0:0] cout1, cout2;
    add16 instance1(
        .a(a[15:0]),
        .b(b[15:0]),
        .cin(0),
        .sum(sum1),
        .cout(cout1)
    );
    add16 instance2(
        .a(a[31:16]),
        .b(b[31:16]),
        .cin(cout1),
        .sum(sum2),
        .cout(cout2)
    );
    assign {sum[31:16], sum[15:0]} = {sum2, sum1};
endmodule

2、选择进位加法器

纹波进位加法器的一个缺点是加法器计算进位输出的延迟相当大,并且第二级加法器在第一级加法器完成之前不能开始计算其进位输出。这会使加法器变慢。一个改进是进位选择加法器,如下所示。第一级加法器与之前相同,但我们复制第二级加法器,一个假设进位=0,一个假定进位=1,然后使用2对1多路复用器来选择使用哪个结果。
在这里插入图片描述

module top_module(
    input [31:0] a,
    input [31:0] b,
    output [31:0] sum
);
    wire cout1, cout2, cout3;
    wire [15:0] sum1, sum2, sum3, sum4;
    add16 instance1(
        .a(a[15:0]),
        .b(b[15:0]),
        .cin(0),
        .sum(sum1),
        .cout(cout1)
    );
    add16 instance2(
        .a(a[31:16]),
        .b(b[31:16]),
        .cin(0),
        .sum(sum2),
        .cout(cout2)
    );
    add16 instance3(
        .a(a[31:16]),
        .b(b[31:16]),
        .cin(1),
        .sum(sum3),
        .cout(cout3)
    );
    always@(*)
        case(cout1)
            1'b0: sum4 = sum2;
            1'b1: sum4 = sum3;
            default: sum4 = sum2;
        endcase
    assign {sum[31:16], sum[15:0]} = {sum4, sum1};
endmodule

3、加法减法器

加法—减法器可以由加法器通过选择性地对其中一个输入求反来构建,这相当于对输入求反然后加1。最终结果是一个可以进行两个运算的电路:(a+b+0)和(a+~b+1)。
在这里插入图片描述

module top_module(
    input [31:0] a,
    input [31:0] b,
    input sub,
    output [31:0] sum
);
    wire [31:0] b1;
    wire [15:0] sum1, sum2;
    wire cout1, cout2;
    assign b1 = b^{32{sub}};
    add16 instance1(
        .a(a[15:0]),
        .b(b1[15:0]),
        .cin(sub),
        .sum(sum1),
        .cout(cout1)
    );
    add16 instance2(
        .a(a[31:16]),
        .b(b1[31:16]),
        .cin(cout1),
        .sum(sum2),
        .cout(cout2)
    );
    assign {sum[31:16], sum[15:0]} = {sum2, sum1};
endmodule

4、BCD加法器

BCD码(Binary-Coded Decimal‎)用4位二进制数来表示十进制数中的0~9这10个数码。4位二进制正常情况下是在值为15之后产生进位,但如果是BCD码加法器,那么应该是在值为9之后就要产生进位。
为了实现4位二进制在值为9之后就要产生进位,那么就可以在值大于9的时候,在该值的基础上加6,使其自动产生进位。总而言之,和小于9则不改变,和大于9再加6;

module BCD_adder(
    input [3:0] a,
    input [3:0] b,
    input cin,
    output cout,
    output [3:0] sum);
    wire [4:0] temp;
    assign temp = a+b+cin;
    assign {cout,s} = (temp>9)?temp+6:temp;
endmodule
  • 12
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值