数字IC/FPGA中有符号数的处理探究

做秋招笔试题时不出意外地又发现了知识盲区,特此学习记录。

1.前提说明

        有符号数无非分为两种:正数和负数,其中正数的符号位是0,不会引起歧义,负数的符号为1,采用的是补码表示。

        此处复习一下补码的知识:对正数而言原码反码补码一致,负数则有区别,要掌握将熟知的十进制负数转化成补码的形式表示,反之亦然。

1.1根据补码计算实际值

        转化规则为:如果符号位(最高位)是0,那么这个数是非负数,补码和实际值相同。如果符号位是1,这个数是负数,需要将其转换为实际值:对补码每一位取反再+1,得到绝对值;在实际值前加负号,即为结果。

        例:补码为1111100,取反再+1得到0000100,即十进制的4,再加上负号,实际值为-4。

1.2实际值转化为补码

        假设我们有一个十进制数要转化为n位的二进制补码。

        对于正数,直接将其转换为二进制形式,然后在前面补足0达到n位即可。

        对于负数,取其绝对值转化为二进制形式,在前面补足0达到n位;对二进制数取反+1,得到最终结果。

        例:写出十进制数-4的8位补码:取绝对值并补0,得到00000100,全部取反再+1,得到11111100,与上文相同。

1.3位扩展

        对于有符号数和无符号数来说,位数的扩展也是不一样的规则:

        对于无符号数,前置一个0,即所谓的零扩展位;

        对于有符号数来说,需要前置n个所谓的符号扩展位即最高位,比如1100要扩展成8位的结果是11111100。

2.有符号数的运算

        在Verilog中,有符号数的加法和减法操作与无符号数有所不同,需要特别注意。

module signed_adder (
    input signed [7:0] a,
    input signed [7:0] b,
    output signed [8:0] c
);
always @(*) begin
    c = a + b;
end
endmodule

        在这个示例中,输入和输出都是带符号数。在Verilog中,使用signed关键字明确表示这是有符号数。需要注意,在进行加法运算时,输出的位宽要比输入的位宽宽一位,以便容纳可能的溢出。

        这种情况下结果不会存在溢出,那么如果c的位宽不够呢?

module adder(
    input signed [3:0] a,
    input signed [3:0] b,
    output signed [3:0] c
);
a = 4'b1011;
b = 4'b1001;
c = a + b;
$display("c = %d",c);
endmodule
    

        对1011+1001进行计算,得到的结果是10100,但是c只有4位的宽度,对最高位产生了截断,因此最终得到的是0100,转化为十进制数的话输出结果是4.听起来很反直觉,两个负数相加却得到了正数的结果,这正是由于输出的宽度不够造成了错误的溢出现象,因此在我们进行运算时要特别注意给出足够的宽度。

3.有符号数的运算和扩展

        首先要明确:有符号数和有符号数运算,结果为有符号数;有符号数和无符号数运算,结果为无符号数;无符号数和无符号数运算,结果为无符号数。

logic signed[15:0]va0 = -1;  //16'hffff
  
wire signed[17:0]en0 = va0 - $signed(16'h1);  
wire signed[17:0]en1 = va0 + $signed(16'hffff);  
wire       [17:0]en2 = va0 - $signed(16'h1);  
wire       [17:0]en3 = va0 + $signed(16'hffff);

        以上结果均为3fffe,很显然,两个有符号数进行操作得到的结果是有符号数,位宽拓展时拓展符号位,分别从fffe和1fffe拓展成了3fffe。

wire signed[17:0]en4 = va0 - 16'h1;  
wire signed[17:0]en5 = va0 + 16'hffff;  
wire       [17:0]en6 = va0 - 16'h1;  
wire       [17:0]en7 = va0 + 16'hffff;

        而有符号数和无符号数的操作结果是无符号数,高位拓展只拓展0。因此en4、ten6的输出结果是fffe,而en5、en7的输出结果是1fffe。

wire signed[17:0]en8  = $unsigned(va0 - $signed(16'h1));  
wire signed[17:0]en9  = $unsigned(va0 + $signed(16'hffff));  
wire       [17:0]en10 = $signed(va0 - 16'h1);  
wire       [17:0]en11 = $signed(va0 + 16'hffff);

        无符号数和无符号数的操作结果是无符号数,无须赘述。en8和en9为fffe,en10和en11为3fffe。

        这个结果也印证了:等号左侧信号声明中的signed/unsigned不影响右侧运算结果的符号数属性;右侧运算之后又通过$signed和$unsigned指定了符号属性,所以后面的位宽拓展就按照对应的属性。

  • 14
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值