Verdi的启动和设置

3.2.1 Verdi 环境变量设置

  • 在 .cshrc 中添加以下设定:
setenv LM_LICENSE_FILE xxx:$LM_LICENSE_FILE
setenv VERDI_HOME <Verdi_install_path>
setenv PATH ${VERDI_HOME}/bin:${PATH}
setenv LD_LIBRARY_PATH ${VERDI_HOME}/share/PLI/VCS/LINUX64:${VERDI_HOME}/share/PLI/lib/LINUX64:${LD_LIBRARY_PATH}
  • 在 .bashrc 中添加以下设定:
export LM_LICENSE_FILE=xxx:$LM_LICENSE_FILE
export VERDI_HOME=<Verdi_install_path>
export PATH=${VERDI_HOME}/bin:${PATH}
export LD_LIBRARY_PATH=${VERDI_HOME}/share/PLI/VCS/LINUX64:${VERDI_HOME}/share/PLI/lib/LINUX64:${LD_LIBRARY_PATH}

3.2.1 Verdi 配置文件

  • Verdi 提供名叫Configure Rule Set form的配置文件,以.rc为后缀名
    用户参数设置 (set via Tools -> Preferences)
    调用其它novas.rc (可选项)

  • 指定搜索路径
    使用环境变量 NOVAS_RC
    setenv NOVAS_RC <path>/novas.rc
    命令行中直接调用 -rcFile
    %> verdi -ssf <fsdb filename> -rcFile <filename>

  • 搜索顺序

    1. -rcFile 命令行参数
    2. NOVAS_RC 环境变量
    3. ./novas.rc
    4. $HOME/novas.rc
    5. /etc/novas.rc
  • 通过Tools -> Preferences设置首选项
    源码字体设置
    信号列表字体设置
    设置gvim为默认编辑器
    波形窗口设置
    波形窗口设置
    原理图显示设置
    原理图显示优化设置
    断言设置

  • 11
    点赞
  • 71
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
### 回答1: 安装vcs和verdi需要先下载对应的安装包,然后根据安装指南安装。安装过程中需要根据提示输入安装路径等参数。安装完成后,可以通过命令行启动vcs和verdi。在使用过程中,可以根据需要进行相应配置和设置。 ### 回答2: VCS(Version Control System)和Verdi是Cadence(凯登思)公司开发的电子设计自动化软件,它们常被用于电路设计、仿真和验证等方面。在Linux系统中安装VCS和Verdi的过程相对较为复杂,以下是具体的安装步骤。 一、安装VCS 1. 下载VCS安装包。你需要登录Cadence的官网,查找对应的VCS版本并下载到本地。 2. 解压安装包。使用命令tar -xzvf vcs-version.tar.gz解压安装包,其中&ldquo;version”代表你下载的VCS版本号,具体路径可以自定义。 3. 配置环境变量。在.bashrc文件中添加以下内容: export VCS_HOME=/your/custom/path/vcs-version export PATH=$VCS_HOME/bin:$PATH 4. 验证安装。进入VCS安装目录,使用命令&ldquo;./vcs -ID”检查VCS安装是否成功。 二、安装Verdi 1. 下载Verdi安装包。你需要登录Cadence的官网,查找对应的Verdi版本并下载到本地。 2. 解压安装包。使用命令tar -xzvf verdi-version.tar.gz解压安装包,其中&ldquo;version”代表你下载的Verdi版本号,具体路径可以自定义。 3. 配置环境变量。在.bashrc文件中添加以下内容: export VERDI_HOME=/your/custom/path/verdi-version export PATH=$VERDI_HOME/bin:$PATH 4. 验证安装。进入Verdi安装目录,使用命令&ldquo;./verdi -no_gui -version”检查Verdi安装是否成功。 需要注意的是,安装VCS和Verdi之前,你需要确保系统已经安装了必要的依赖库和工具,例如GCC编译器、Python等,否则安装过程可能会出现问题。另外,在安装过程中可能会遇到其他的问题,这时需要根据具体情况进行解决。 ### 回答3: VCS(Verilog Compiler Simulator)和Verdi是与Verilog设计相关的软件工具,主要用于仿真和调试Verilog代码。本文将介绍在Linux系统下安装VCS和Verdi的步骤。 1. 下载VCS和Verdi 在Synopsys官网上下载VCS和Verdi的安装包。一般需要先进行用户注册和授权,获取安装包的下载链接。 2. 安装依赖库 安装VCS和Verdi之前需要安装一些依赖库,如libXext、libXtst、libXft等。可以使用命令行进行安装: sudo apt-get install libxext-dev libxtst-dev libxft-dev 3. 安装VCS 将下载的VCS安装包进行解压缩,进入解压目录,运行以下命令进行安装: ./install 按照提示进行安装,需要输入安装路径和用户权限密码。完成安装后,可以在安装路径下找到VCS的可执行文件。 4. 安装Verdi 将下载的Verdi安装包进行解压缩,进入解压目录,运行以下命令进行安装: ./install 按照提示进行安装,需要输入安装路径和用户权限密码。完成安装后,可以在安装路径下找到Verdi的可执行文件。 5. 配置环境变量 为了方便使用,可以将VCS和Verdi的可执行文件添加到系统环境变量中,使其可以在任何目录下运行。可以编辑~/.bashrc文件,在文件末尾添加以下内容: export PATH=$PATH:/path/to/vcs/bin export PATH=$PATH:/path/to/verdi/bin 其中/path/to/vcs和/path/to/verdi分别为VCS和Verdi的安装路径。保存文件后,在终端中执行以下命令使其生效: source ~/.bashrc 至此,在Linux系统下安装VCS和Verdi就完成了。可以在终端中输入vcs或verdi命令来启动相应的软件工具。如果出现错误或其他问题,可以参考官方文档或开发者社区的解决方案。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值