在波形视图中调试

本文介绍了如何在Verdi的nWave工具中进行波形调试,包括打开nWave frame、加载仿真结果、设置激活文件、打开多窗口、使用虚拟文件、添加信号、进行信号逻辑操作、波形比较以及保存和恢复信号列表等关键步骤,帮助用户高效地分析和调试波形数据。
摘要由CSDN通过智能技术生成

打开nWave frame

  • 在nTrace中, 使用Tools ->New Waveform 或者点击工具栏中的新波形图标

  • 使用前面讲到的命令行方式载入设计-加载KDB
    %> verdi -ssf novas.fsdb –nologo&
    nWave frame


nWave frame一览
nWave frame一览


加载仿真结果

  • 在nWave中, 使用File -> Open或者点击工具栏图标

    • 加载通过$dumpvars生成的VCD文件,加载的时候VCD文件自动转换为FSDB文件
  • 加载$fsdbdumpvars生成的FSDB文件

  • 在一个nWave窗口中打开多个波形文件
    使用File ->Set Active设置哪个文件是激活状态

  • 打开多个波形文件在不同的nWave窗口中
    使用Window -> Change to Primary设置哪个窗口是激活状态


通过virtual file加载仿真结果

  • 命令行输入:
    %> verdi –ssf <virtual_file>.vf

  • 在nWave中,使用File -> Open
    过滤器中包括\\.vf
    选择virtual file
    点击Add,然后点击OK即可
    \\
    .vf文件颜色和波形文件不同,并且

  • 0
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值