quartus和modelsim联合调试简单实例(详细)

本文提供了一个使用Quartus II和Modelsim联合调试的简单计数器工程示例。首先在Quartus II中编写计数器代码,然后在Modelsim中创建测试激励代码。通过设置Quartus II工程,连接到Modelsim进行RTL Simulation。在Modelsim界面添加波形,观察输入输出变量,并通过命令窗口进行仿真运行,完成联合调试。
摘要由CSDN通过智能技术生成

Quartus II和Modelsin联合使用

通过一个很简单的计数器工程教给大家两个工具的常用使用方式。
1、首先就是写一个用quartus写一个计数器的程序,这个很简单这里附上代码

module counter(
	input clk,
	input rst_n,
	output reg [3:0]cnt);
	
	always @(posedge clk or negedge rst_n)
		begin
			if(!rst_n)
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值