DSP28335的增强型EPWM

本文详细介绍了F28335处理器的ePWM模块,包括其多路PWM输出配置、内部结构(如时基、比较、动作限定和斩波模块)以及级联能力。重点讲解了如何通过设置实现不同类型的PWM波形和载波占空比,以优化模拟信号生成。
摘要由CSDN通过智能技术生成

介绍:

PWM就像大功率DA转换器一样,将数字信号转换成模拟信号,只是PWM是用调制脉宽的方法将数字信号等效替代模拟信号,也可以认为PWM电路是一类特殊的D/A电路。

F28335的ePWM模块是一个加强模块。每个ePWM模块由两路ePWM组成,分别为ePWMxA和ePWMxB,这一对PWM输出,可以配置成两路独立的单边沿PWM输出,或者两路独立的但相互相对称的双边沿PWM输出,或者一对双边沿非对称的PWM输出,共有6对这样的ePWM模块。因为每对PWM模块中的两个PWM输出均可单独使用,所以也可以认为有12路单路ePWM,除此之外还有6个APWM,这6个APWM通过CAP模块扩展配置,可以独立使用,所以F28335最多可以有18路PWM输出。

每组ePWM包含的模块

ePWM内部结构图流程:

过程:时基模块是用来产生时基信号,还可以设置PWM的周期,比较模块CC,时基模块产生的时基信号通过CC来修正脉冲,然后对PWM波形进行配置,再由动作模块AQ限定输出状态,经过死区模块可以将同组内的互补信号波形,进行边沿的延迟,接着进入PWM斩波模块,然后进入错误联防模块。

时基模块TB

ePWM模块可以级联在一起。

闭合开关TBCTL[CTRMODE],然后将TBPHS的值加载进入TBCTR,改变CTR的值,让CTR的值按照TBPHS的值开始进行计算,这样可以保证各个ePWM模块始终处以同一个状态。

可以实现级联。

计数比较模块CC

计数器比较子模块将时基计数器值作为输入。输入值连续地与计数器比较A(CMPA)和计数器比较B(CMPB)寄存器进行比较。当时基计数器等于比较寄存器之一时,计数器比较单元生成适当的事件。

动作限定模块AQ

动作限定符子模块在波形构建和PWM生成中起着最重要的作用。它决定将哪些事件转换为各种动作类型,从而在EPWMxA和EPWMxB输出端产生所需的切换波形。

动作限定符子模块的用途:

PC斩波模块

我们可以看出载波是由系统频率8分频得到。其中,还可以配置第一个脉冲的宽度,目的是保证功率器件的有效开通,因为开通功率器件要求的电流比维持功率器件的电流大,因此采用这种方法。

斩波器模块通过对 CHPDUTY 位编程,可以 实现 7 种不同占空比 ,占空比可以选择的范围是 12.5%~87.5%。载波在一个采样周期中配置不同的占空比。
  • 4
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
DSP28335是德州仪器(Texas Instruments)公司推出的一款数字信号处理器,支持多种模块化功能,其中包括EPWM模块,用于生成PWM信号。下面是DSP28335的EPWM配置步骤: 1. 选择PWM模块:DSP28335共有6个PWM模块(EPWM1-EPWM6),需要根据实际需求选择其中一个。 2. 配置PWM时钟:PWM时钟可以选择CPU时钟、系统时钟或者外部时钟,需要根据实际需求进行选择。 3. 配置PWM计数周期:计数周期决定了PWM信号的频率,可以通过设置TBPRD(Timer Period Register)的值来实现。计数周期的值需要根据所需的PWM频率和时钟频率进行计算。 4. 配置PWM占空比:PWM占空比可以通过设置CMPA和CMPB(Compare Registers)的值来实现。CMPA和CMPB的值需要根据所需的PWM占空比和计数周期进行计算。 5. 配置同步输出:如果需要多个PWM模块同步输出PWM信号,可以通过配置SYNCOSEL(Sync Output Select Register)和SYNCSEL(Sync Selection Register)来实现。 6. 配置死区时间:死区时间可以通过设置DBRED和DBFED(Dead-Band Registers)的值来实现。死区时间的值需要根据所需的PWM占空比和计数周期进行计算。 7. 开启PWM输出配置完成后,需要将PWM模块的TBCTL(Timer Control Register)寄存器的CTRMODE位设置为0x00,使其进入up-count计数模式,并将HSPCLKDIV和CLKDIV分频系数设置为1,以使PWM模块开始输出PWM信号。 上述是DSP28335的EPWM配置步骤,需要根据实际需求进行具体的设置。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值