avalon_to_axilite(avalon为主端)

本文详细介绍了如何将Avalon总线接口转换为AXI-Lite主端接口的过程,适用于FPGA开发。内容涵盖两者之间的差异、转换步骤以及在实际设计中的应用考虑。
摘要由CSDN通过智能技术生成

`timescale 1 ps / 1 ps

module avalon_to_axilite( 
   input                           avalon_clk        ,
   input                           avalon_rst        ,  
   input            [11 :0]        avalon_address    ,//
   input                           avalon_write      ,//
   input                           avalon_read       ,//
   output  reg      [31:0]         avalon_readdata   ,//
   input            [31:0]         avalon_writedata  ,//
   output  reg                     avalon_waitrequest,//
   output  reg                     avalon_readdatavalid ,//                                     
       
   //axi if
   output  wire                    axi_aclk           , //
   output  wire                    axi_aresetn        , //
   output  reg     [31:0]          axi_awaddr         , //
   output  wire    [2:0]           axi_awprot         , //
   output  reg                     axi_awvalid        , //   
   input   wire                    axi_awready        , // 
   output  reg     [31:0]          axi_wdata          , //
   output  reg     [3:0]           axi_wstrb          , //
   output  reg                     axi_wvalid         , //     
   input   wire                    axi_wready         , //
   input   wire    [1:0]           axi_bresp          , //
   input   wire                    axi_bvalid         , //  
   output  reg                     axi_bready         , //
   output  reg     [31:0]          axi_araddr         , //
   output  wire    [2:0]           axi_arprot         , //
   output  reg                     axi_arvalid        , //  
   input   wire                    axi_arready        , //
   input   wire    [31:0]          axi_rdata          , //
   input   wire    [1:0]           axi_rresp          , //
   input   wire                    axi_rvalid         , //
   output  reg                     axi_rready           //
	   
);

//****************************************************************  
wire    avalon_chipselect;
assign  avalon_chipselect =1'b1;

reg        cpu_wr_en   ;
reg        cpu_wr_en_d1;
reg [31:0] cpu_wr_data ;
reg [11:0] cpu_addr    ;
reg        cpu_rd_en   ;
reg        cpu_rd_en_d1
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值