【HDL系列】半减器、全减器和减法器原理和设计

目录

一、半减器

二、全减器

三、减法器

四、Verilog设计


减法器可由基础的半减器和全减器模块组成,或者基于加法器和控制信号搭建。

定义N比特被减数X,减数Y,差为D(difference);

来自低比特借位Bi,向高比特借位Bi+1,i为比特序号;

所以,有以下结论:

一、半减器

半减器用于计算两比特Xi和Yi的减法,输出结果Di和向高位的借位Bo(Borrow output)。其真值表、逻辑表达式、Verilog描述和门电路图如下:

真值表

逻辑表达式

xor表示异或。

Verilog设计

门电路图

  • 65
    点赞
  • 359
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值