FIFO IP 核的调用

FIFO(First in first out 即先入先出),是一种数据缓冲器,用来实现数据先入先出的读写方式。FIFO存储器主要是作为缓存,应用在同步时钟系统和异步时钟系统中,在很多的设计中都会使用;如:多比特数据做跨时钟域处理,前后带宽不同步等都用到了FIFO。FIFO 根据读写时钟是否相同,分为 SCFIFO(同步 FIFO)和 DCFIFO(异步 FIFO)。要完成FIFO的写操作需要三路信号:时钟,请求,数据写入信号。要完成FIFO的读操作需要三路信号:时钟信号,有效读请求信号。

首先是同步FIFO的调用,先进行FIFO IP核的参数配置,然后编写顶层模块的FIFO调用代码:

module  fifo
(
   input  wire         sys_clk,
   input  wire  [7:0]  pi_data,
   input  wire         rd_req ,
   input  wire         wr_req,
  
   output   wire       empty,
   output   wire       full,
   output   wire [7:0] po_data,
   output   wire [7:0] usedw

);

scfifo_8x256	scfifo_8x256_inst
(
	.clock   ( sys_clk),
	.data    ( pi_data),
	.rdreq   ( rd_req ),
	.wrreq   ( wr_req ),
	.empty   ( empty ),
	.full    ( full ),
	.q       ( po_data ),
	.usedw   ( usedw )
);



endmodule

然后编写仿真代码:

`timescale  1ns/1ns

module  tb_fifo();

reg         sys_clk;
reg  [7:0]  pi_data;
reg         rd_req ;
reg         wr_req ;
reg         sys_rst_n;
reg  [1:0]  cnt     ;

wire         empty;
wire         full;
wire  [7:0]  po_data;
wire  [7:0]  usedw;

initial  
    begin
        sys_clk  =1'b1;
        sys_rst_n  <= 1'
  • 0
    点赞
  • 15
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值