Verilog的关键字及意义(未完持续编辑中)

always

ifnone

rnmos

and

incdir

rpmos

assign//标记赋值

include

rtran

automatic

initial

rtranif0

begin

inout

rtranif1

buf

input//输入

scalared

bufif0

instance

showcancelled

bufif1

integer

signed

case

join

small

casex

large

specify

casez

liblist

specparam

cell

library

strong0

cmos

localparam

strong1

config

macromodule

supply0

deassign

medium

supply1

default

module

table

defparam

nand

task

design

negedge//下降沿

time

disable

nmos

tran

edge

nor

tranif0

else//相反

noshowcancelled

tranif1

end

not

tri

endcase

notif0

tri0

endconfig

notif1

tri1

endfunction

or

triand

endgenerate

output//输出

trior

endmodule

parameter

trireg

endprimitive

pmos

unsigned

endspecify

posedge//上升沿

use

endtable

primitive

vectored

endtask

pull0

wait

event

pull1

wand

for//循环

pulldown

weak0

force

pullup

weak1

forever

pulsestyle_onevent

while

fork

pulsestyle_ondetect

wire

function

rcmos

wor

generate

real

xnor

genvar

realtime

xor

highz0

reg//寄存器变量


highz1

release


if、、条件

repeat

 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值