保留字 | 说明 |
always | 语句重复执行 |
and | 逻辑与实例 |
assign | 过程化持续赋值 |
automatic | 递归调用修饰词 |
begin | 顺序执行语句组开始 |
buf | 缓冲器 |
bufif0 | 低电平是能缓冲器 |
bufif1 | 高电平是能缓冲器 |
case | 分支语句 |
casex | 分支语句 |
casez | 分支语句 |
cell | |
cmos | 门级原语 |
config | 配置 |
deassign | 停止过程化语句赋值 |
default | 分支语句其他选项 |
defparam | 重写参数值 |
design | 配置 |
disable | 中止运行 |
edge | 边沿 |
else | 条件语句 |
end | begin语句结束 |
endcase | 分支语句结束 |
endconfig | 配置结束 |
endfunction | 函数结束 |
endgenerate | 生成结束 |
endmodule | 模块结束 |
endprimitive | 原语结束 |
endspecify | |
endtable | 真值表结束 |
endtask | 任务结束 |
event | 事件 |
for | for循环 |
force | 过程化持续赋值 |
forever | 永久执行 |
fork | 同步执行语句 |
function | 函数 |
generate | 生成 |
genvar | generate循环 |
highz0 | 高阻0 |
highz1 | 高阻1 |
if | if语句 |
ifnone | specify语句 |
initial | 初始化 |
inout | 双向 |
input | 输入 |
instance | 实例 |
integer | 整型 |
join | fork-join语句 |
large | 强度 |
liblist | 库列表 |
localparam | 本地参数 |
macromodule | 模块 |
medium | 强度 |
module | 模块 |
nand | 逻辑与非 |
negedge | 下降沿 |
nmos | 门级MOS |
nor | 逻辑或非 |
noshowcancelled | specify语句 |
not | 逻辑非 |
notif0 | 低电平使能非门 |
notif1 | 高电平使能非门 |
or | 逻辑或 |
output | 输出 |
parameter | 参数 |
pmos | MOS原语 |
posedge | 上升沿 |
primitive | 原语 |
pull0 | 强度 |
pull1 | 强度 |
pulldown | 强度 |
pullup | 强度 |
pulsestyle_ondetect | specify语句 |
pulsestyle_onevent | specify语句 |
rcmos | MOS原语 |
real | 实数 |
realtime | 实数 |
reg | 变量 |
release | force release |
repeat | 重复 |
rnmos | 门级MOS |
rpmos | 门级MOS |
rtran | 门级双向通道 |
rtranif0 | 门级双向通道 |
rtranif1 | 门级双向通道 |
scalared | 类型修饰符 |
showcancelled | specify语句 |
signed | 类型修饰符 |
small | 强度 |
specify | |
specparam | 指定参数 |
strong0 | 强度 |
strong1 | 强度 |
supply0 | 强度 |
supply1 | 强度 |
table | 真值表 |
task | 任务 |
time | 时间类型 |
tran | 门级双向通道 |
tranif0 | 门级双向通道带控制 |
tranif1 | 门级双向通道带控制 |
tri | 网络数据类型 |
tri0 | 网络数据类型 |
tri1 | 网络数据类型 |
triand | 网络数据类型 |
trior | 网络数据类型 |
trireg | 网络数据类型 |
use | 配置语句 |
vectored | 网络数据类型修饰符 |
wait | 等待 |
wand | 网络数据类型 |
weak0 | 强度 |
weak1 | 强度 |
while | while循环 |
wire | 网络数据类型 |
wor | 网络数据类型 |
xnor | 逻辑或非 |
xor | 逻辑或 |