SDF反标为什么没有数值或者与mtm值不一致

SDF文件描述延迟的内容由一系列用逗号分隔的三元组triplets组成。
这些三元组表示最小延迟、典型延迟和最大延迟。如果未在VCS命令行中指定默认值,则为“Typical”。
由于一些提取工具只产生两个延迟,比如“0.002::0.005”,也就是typical是没有值对应的,如果将此三元组提取到的验证中,并且不在编译时指定+mindelays或+maxdelays,或者$sdf_annotate()时,没有指定MAXIMUM或者MINIMUM,那么将不会有SDF注释到的设计中,因为没有数据来表示典型值。
结果:
(1)不报告未标记成功的错误和警告,因此具有迷惑性。
(2)可能会使用sdf中的默认延迟值,一般是1ns。可以注意这种延迟,暗示没有正确标记。
(3)VCS将使用specify…end specify块中的时序信息。

附:
$sdf_annotate (“sdf_file”[, module_instance] [,“sdf_configfile”][,“sdf_logfile”][,“mtm_spec”] [,“scale_factors”][,“scale_type”]);
其中,“mtm_spec” 包括 “MINIMUM”, “TYPICAL”, “MAXIMUM”, 或者 “TOOL_CONTROL” (default).

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值