Xilinx 中时钟的使用

没有PLL的时钟:

输入时钟在没有连接到PLL中,那么一定在输入端链接BUFG,

   IBUFG #(
      .IOSTANDARD("DEFAULT")  // Specify the input I/O standard
   ) IBUFG_inst (
      .O(O), // Clock buffer output
      .I(I)  // Clock buffer input (connect directly to top-level port)
   );

//此代码请参考,ISE软件中的语言模板工具。
这里写图片描述


两个PLL级联的时钟:
第一个PLL称作P1第二称作P2
P1输出的时钟要链接BUFG,作为第二级PLL P2的输入,第二级PLL的输入时钟要选择为BUFG输入。
IP核设置:
这里写图片描述

这里写图片描述

输入模型:
这里写图片描述

参考设计代码:

 clk_65M clk_65M_inst
   (// Clock in ports
    .CLK(CLK),      // IN
    // Clock out ports
    .clk_65M(clk_65M),     // OUT
    .clk_100M(clk_100M),     // OUT
    .CLK_100(CLK_100));    // OUT

    clk_333M clk_333M_inst
   (// Clock in ports
    .CLK_100(CLK_100),      // IN
    // Clock out ports
    .clk_333M(clk_333M));    // OUT
  • 0
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Xilinx时钟恢复是指在FPGA设计使用Xilinx器件时,当时钟信号被干扰或丢失时,重新确保时钟信号的正确性和稳定性的过程。 时钟信号在FPGA设计起着非常关键的作用,它是控制电路各个模块操作顺序的基准。如果时钟信号丢失或被干扰,可能会导致整个设计出现问题,影响系统的正常工作。因此,时钟恢复对于保证设计的正常操作至关重要。 在Xilinx设计时钟恢复可以通过以下几个步骤实现: 1. 检测:首先需要检测时钟信号是否丢失或被干扰。可以通过在设计引入时钟检测电路来进行检测,当检测到时钟信号异常时,系统可以采取相应措施。 2. 恢复:一旦检测到时钟信号异常,需要进行时钟恢复操作。恢复可以分为软件恢复和硬件恢复两种方式。软件恢复一般通过重新配置时钟模块或重新加载时钟约束来实现。硬件恢复一般通过引入备用的时钟源或冗余时钟电路来替代丢失或干扰的时钟信号。 3. 验证:恢复时钟后,需要验证恢复后的时钟信号的正确性和稳定性。可以通过时钟周期测量、时钟相位对齐等方法来进行验证,确保恢复后的时钟信号满足设计要求。 Xilinx时钟恢复是保障FPGA设计正确性的重要步骤之一,合理的时钟恢复方案可以避免由于时钟问题引发的系统故障,确保设计的稳定性和可靠性。在实际应用,需要根据具体的设计需求和时钟特性来选择合适的时钟恢复策略,并进行适当的验证和优化。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值