基于FPGA的LCD1602驱动

该设计详述了如何使用FPGA接口驱动LCD1602显示器,包括异步复位功能,每0.1秒显示内部预设字符。通过分频器将50MHz时钟转化为10Hz信号,只使用写操作(LCD_RW设为0),并按顺序设置LCD指令参数及显示数据。
摘要由CSDN通过智能技术生成

一、功能描述

本设计实现LCD_1602的接口,具体功能定义如下:

1、异步复位信号;

2、按下复位键后在LCD_1602液晶屏显示内部设置好的字符,每间隔0.1秒显示一个字符。

二、输入输出信号描述


三、设计思想

1、首先把50MHz的时钟信号转化为10Hz的信号,实现每0.1秒显示一个字符,设计一个分频器。

2、LCD_RW设置为0,因为只有写信号,没有读信号。

3、指令参数设置,LCD_RS设置为0,在每个10Hz时钟的上升沿,对LCD_DATA输入一个参数,实现内部参数的设置,依次为清零、归位、光标右移、画面不动、显示开、光标不显示、光标闪烁关、光标右移一个字符位、设置八位数据接口、两行显示、5*8点阵字符。

4、显示数据的输入,LCD_RS设置为1,在每个10Hz时钟的上升沿,对LCD_DATA输入一个8位字符代码并在液晶屏显示。

/*** code ***/

`define LINE_1 12   //the number of line 1
`define LINE_2 19   //the number of line 1 and line 2
//=========================================================
// Company:  Jackin
// Engineer: Jackin
//
// Create Date:   2012-2-26   
// Design Name:   LCD_1602   
// Module Name:   LCD_1602   
// Project Name:  LCD_1602   
// Target Device: EP2C35F672
// Tool versions: Modelsim SE PLUS 6.2b  &  Quartus II 9.0 
// Description:   CFAH1602B-TMC-JP port
//              
// Dependencies:
// 
// Revision:
// Additional Comments:
//=====================&#
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值