概述
用于simulation的APB总线读写操作procedure,袁神指导,哈哈
APB写操作
procedure apbwrite (
signal psel : out std_logic;
signal penable,pwrite : out std_logic;
signal paddr : out std_logic_vector(31 downto 0);
signal pwdata : out std_logic_vector(31 downto 0);
addrval : in std_logic_vector(31 downto 0);
dataval : in std_logic_vector(31 downto