Verilog语言——8路彩灯控制器

设计一个8路彩灯控制器,要求实现如下花样:
1)从左到右逐个亮,从右到左逐个灭;
2)从两边往中间逐个亮,从中间往两边逐个灭;
3)重复上面12

文本文件:


module caideng(clk,light,res);

input clk,res;

output[3:0] light;

reg[3:0] state;

reg[3:0] light;

parameter

FIRST=4'd0,

A=4'd1,  B=4'd2,

C=4'd3,  D=4'd4,

E=4'd5,  F=4'd6,

G=4'd7,  H=4'd8,

I=4'd9,  J=4'd10,

K=4'd11, L=4'd12;

                                  

always @(posedge clk)

begin

if(!res)

       begin

       state=FIRST;

       end

else

       casex(state)

       FIRST:state<=A;

       A:begin

              light=4'b1000;

              state<=B;

         end

       B:begin

              light=4'b1100;

              state<=C;

         end

       C:begin

              light=4'b1110;

              state<=D;

         end

       D:begin

              light=4'b1111;

              state<=E;

         end

       E:begin

              light=4'b1110;

              state<=F;

         end

       F:begin

              light=4'b1100;

              state<=G;

         end

       G:begin

              light=4'b1000;

              state<=H;

         end

       H:begin

              light=4'b0000;

              state<=I;

         end

       I:begin

              light=4'b1001;

              state<=J;

         end

       J:begin

              light=4'b1111;

              state<=K;

         end

       K:begin

              light=4'b1001;

              state<=L;

         end

       L:begin

              light=4'b0000;

              state<=A;

         end

       default:state=FIRST;

       endcase

       end

endmodule

  • 15
    点赞
  • 119
    收藏
    觉得还不错? 一键收藏
  • 7
    评论
序列检测器是一,用于检测输入信号序列是否符合某特定的模式。在Verilog HDL语言中,可以使用状态机来实现序列检测器。以下一个简单的序列检测器的Verilog HDL代码示例: ```verilog module sequence_detector( input clk, // 时钟信号 input reset, // 复位信号 input data, // 输入数据信号 output reg match // 匹配信号 ); // 定义状态 typedef enum logic [1:0] { STATE_IDLE, // 空闲状态 STATE_S1, // 状态1 STATE_S2, // 状态2 STATE_S3 // 状态3 } state_t; // 定义状态转移表 parameter [3:0] TRANS_TABLE [0:3] = '{4'b0000, 4'b0001, 4'b0010, 4'b0100}; // 定义当前状态变量和下一个状态变量 reg [1:0] state, next_state; // 初始化状态为IDLE initial begin state = STATE_IDLE; end // 定义状态机逻辑 always @ (posedge clk, posedge reset) begin if (reset) begin state <= STATE_IDLE; end else begin state <= next_state; end end // 定义状态转移逻辑 always @ (*) begin case (state) STATE_IDLE: begin if (data) begin next_state = STATE_S1; end else begin next_state = STATE_IDLE; end end STATE_S1: begin if (!data) begin next_state = STATE_IDLE; end else if (data) begin next_state = STATE_S2; end end STATE_S2: begin if (!data) begin next_state = STATE_IDLE; end else if (data) begin next_state = STATE_S3; end end STATE_S3: begin if (!data) begin next_state = STATE_IDLE; match = 1; end else begin next_state = STATE_S3; end end endcase end endmodule ``` 这个序列检测器可以检测输入数据信号是否符合“1101”这个模式。输入数据信号通过data端口输入,匹配结果通过match端口输出。当输入数据信号符合“1101”这个模式时,match信号会被置为1。如果输入数据信号不符合模式,match信号会保持为0。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 7
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值