Verilog语言——序列检测器

设计一个序列检测器,检测器在有“101”序列输入时输出为1,其他输入情况下,输出为0

 

 

module xuliejiance(x,z,clk,rst,state);

input x,clk,rst;

output z;

output[2:0] state;

reg[2:0] state;

wire z;

parameter IDLE='d0,A='d1,B='d2,C='d3,G='D4;

assign z=(state==C&&x==1)?1:0;

always @(posedge clk)

  if(!rst)

          begin

          state <= IDLE;

          end

  else

          casex(state)

            IDLE : if(x==1)

                      begin

                          state <= A;

                      end

            A:    if(x==0)

                      begin

                          state <= B;

                      end

            B:    if(x==1)

                      begin

                          state <= C;

                      end

                    else

                      begin

                          state <= G;

                      end

            C:      if(x==1)

                      begin

                          state <= A;

                      end

                    else

                      begin

                          state <= G;

                      end

            G:      if(x==1)

                      begin

                          state <= A;

                      end

          default:state=IDLE; //缺省状态为初始状态。

          endcase

endmodule

 

 

 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值