FPGA知识基础之语法篇


前言

笔者将基于正点原子的达芬奇开发板,结合夏宇闻老师的Verilog数字系统设计教程,来记录自己学习FPGA的学习思路,希望能给与读者一些帮助


一、基本知识

1、逻辑值

1.逻辑0:代表低电平.对应电路中的GND
2.逻辑1:表示高电平,对应电路中的VCC
3.逻辑X:表示未知,有可能低电平,也有可能高电平;
4.逻辑Z:表示高阻态,外部没有激励信号,是一个悬空状态

在这里插入图片描述


2、数字进制

verilog数字进制主要包括二进制,八进制,十进制和十六进制

二进制表示如下:4’b0101表示4位二进制数字0101
十进制表示如下:4’d2表示4位十进制数2
十六进制表示如下:4’ha表示4位十六进制a

需要注意的是数字电路中都是以二进制来存储信息的,所有十,十六进制后面的位数是他们转换为二进制时的位数,位宽默认是32,进制默认是十进制,可以在数字上添加下划线来增加可读性 16’b1001_1010_1001 = 16’h9aa9

在这里读者来分享一点有关数值转换的小技巧
在二进制转十六进制,十进制时,每隔四位画一个下划线,在最高位不够四位则在前面加0
在二进制转八进制时,每隔三位画一个下划线,在最高位不够三位则在前面加0

3、标识符

1.标识符(identifier)用于定义模块名、端口名、信号名等
2.标识符可以是任意一组字母,数字,$符号和_(下划线)符号的组合;
3.但标识符的第一个字符必须是字母或者下划线;
4.标识符是
大小写敏感的
(这和java不一样)
学过c语言的同学可以将标识符类比为变量

二、数据类型

verilog中数据类型主要分为三大类:寄存器数据类型、线网数据类型、和参数数据类型
真正在数字电路中起作用的类型是寄存器数据类型、线网数据类型

1、寄存器类型

1.寄存器表示一个抽象的数据存储单元

2.通过赋值语句可以改变寄存器储存的值,

3.寄存器数据类型的关键字是reg,reg类型数据的默认初始值为不定值X

4.reg类型的数据只能在always和initial语句中被赋值.
如果该过程语句描述的是时序逻辑,即always语句中带有时钟信号,则该寄存器变量对应为触发器;
如果该过程语句描述的是组合逻辑,即always语句中不带有时钟信号,则该寄存器变量对应为硬件连线;

//define reg
reg [31:0] delay_cnt //32位宽
reg key_reg //1位宽

reg的默认位宽是1

2、线网类型

1.线网数据类型表示结构实体(例如门)之间的物理连线

2.线网类型的变量不能储存值,他的值是由驱动它的元件所决定的

3.驱动线网类型的元件有门、连续赋值语句、assign等,如果没有驱动元件连接到线网类型的变量上,则该变量就是高阻的,其值为Z

4.线网数据类型包括wire型和tri型,wire型常见

//define wire
wire key_flag;

wire的默认位宽也是1

3、参数类型

1.参数就是一个常量,在verilog HDL中用parameter来定义常量.

2.可以一次性定义多个参数,中间用逗号隔开.

3.每个参数定义的右边必须要是一个常数表达式

4.参数型数据常用于定义状态机的状态修改数据位宽,定义延迟大小

5.在模块调用时,可通过参数传递来改变被调用模块中已定义的参数

6.采用标识符来代表常量可提高程序的可读性和可维护性

//define parameter
parameter H_SYNC = 11'd41; 
parameter H_BACK = 11'd2;
parameter H_DISP = 11'480;

与c语言不同的是,需要用等号和分号

三、运算符

1、算术运算符

在这里插入图片描述
Verillog的除法运算中只能实现整除

2、关系运算符

在这里插入图片描述

3、逻辑运算符

在这里插入图片描述

4、条件操作符

在这里插入图片描述

result = (a>=b)?a:b;

是if else的简化

5、位运算符

在这里插入图片描述

需要注意,这是按位来进行操作
要是两个数位宽不一样,那就会将位宽小的数据前面补零

6、移位运算符

在这里插入图片描述

1.两种移位运算符都用0来填补空缺
2.左移时,位宽增加;右移时,位宽不变

4'b1001<<2 = 6'b100100;
4'b1001>>2 = 4'0010;

7、位拼接运算符

在这里插入图片描述

c = {a,b[3:0]};
//假如a,b都是8位,那么c就是高8位为a,低4位为b的12位数

8、运算符的优先级

在这里插入图片描述

  • 17
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值