FPGA_简单工程_流水灯

一 理论

几个led灯依次被点亮,即为流水灯。

二 

开发板:

            使用fpga开发板上led灯。

            在一定的时间间隔内依次亮起。

原理图:

           led灯低电平控制下处于点亮状态。

三信号

框图:

波形图:

四 代码

module water_led
#(
      parameter    CNT_MAX = 25'd24_999_999
)
(
  input wire sys_clk,
  input wire sys_rst_n,
 
  output wire [3:0] led_out 
);
 
reg [24:0] cnt;//定义中间变量。
reg        cnt_flag
 
always@(posedge sys_clk or negedge sys_rst_n)
   if(sys_rst_n==1'b0)//异步复位。
       cnt <= 25'd0;//赋初值。
   else   if(cnt==CNT_MAX)
       cnt <= 25'd0;//清零。
   else  
       cnt == cnt + 25'd1;//计数。
 
 
always@(posedge sys_clk or negedge sys_rst_n)
   if(sys_rst_n==1'b0)//异步复位。
           cnt_flag<=1'b0;//赋初值。
     else      if(cnt ==(CNT_MAX -25'd1)
        cnt_flag <=1'b1;//高电平有效。
     else    
        cnt_flag <=1'b0;//取低电平。
        
always@(posedge sys_clk or negedge sys_rst_n)
   if(sys_rst_n==1'b0)//异步复位。
           led_out<=4'b1110;//赋初值。
     else      if((led_out==4'b0111)&&(cnt_flag ==1'b1))
           led_out<=4'b1110;//循环
     else      if(cnt_flag ==1'b1)
           led_out<=led_out<<1;//移位
        
endmodule

  • 29
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值