SystemVerilog中的$cast()向下类型转换

​    在之前也介绍过关于$cast()的一些介绍,这篇文章将更加详细地介绍$cast()向下类型转换。


 

    在UVM中经常可以看到$cast的身影,这是SV的build-in task之一,当然它还有function的版本,这里不讨论。说到这,不得不提到“类型转换”这个术语,SV和很多其他语言一样,都支持特定类型间的相互转换。SV类型转换分两种方法,一种叫静态类型转换,另一种称之为动态类型转换。静态类型转换的语法类似为:

int a = 2;real b;b = real'(a);

 

   这种静态类型转换一般是不会检查转换是否合法的,因此具有一定的危险性。但是$cast的task却不是这样,它在运行时将进行类型检查,如果转换失败,会产生运行时错误。

 

    $cast可以对不同的内建类型进行转换,用的更多的是不同层次之间类的转换。在这种父类于子类之间的转换里, 父类站的高,子类在底下,从父类向子类的转换࿰

  • 1
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值