vivado----simulation上

    今天说的vivado的仿真是指用vivado自带的仿真工具进行仿真,主要内容集中在如何运用脚本对代码进行仿真。

    1,vivado自带工具的图形界面仿真很简单,这里不做过多介绍,但是在图形界面仿真的时候有一点值得注意,就是如何产生设计中所有信号的波形,因为一般vivado是默认产生顶层模块的IO波形,而如果想产生其他信号的波形,还要在仿真之前添加进去,而如果仿真期间还要加信号的话,就只能重新添加信号,然后重新仿真。所以这里我们利用verilog中的dumpvars系统调用来dump出所有的波形。做法就是在仿真设置下面加一条命令-testplusarg dump_all。这个命令会被代码中的

    if ($test$plusargs ("dump_all")) begin

捕捉到,从而会执行

    $dumpfile("board.vcd");
    $dumpvars(0, board);

系统调用函数来dump出所有的波形。

    2,下面主要说一下怎么在命令行界面进行仿真:

    在命令行界面进行仿真就必须了解vivado的仿真机理,例如,

    ----源代码是如何被编译,然后执行的;

    ----如果设计中包含ip核,仿真的过程有事怎样的;

    ----有些ip核对应的有源码,而有些ip核实没有源码的,这个时候该利用什么队ip核进行仿真;

    首先,vivado的命令行仿真是可以脱离vivado环境来实现的,就想第三方仿真工具仿真一样。在vivado中,用于仿真的工具有三个:xvlog, xelab, xsim。分别对应com

  • 1
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
[vivado 12-4473]检测到在运行仿真时出现错误。请进行修正。 在使用Vivado进行仿真时,可能会遇到各种错误。其中,错误代码[vivado 12-4473]指示在运行仿真过程中发现了错误,并要求我们进行修复。 对于这类错误,我们首先需要确认出错的具体原因。可通过查看Vivado生成的日志文件,寻找其中有关错误的详细信息。可能的原因包括语法错误、模块连接错误、文件路径错误或版本兼容性问题等。 一旦确定错误的原因,我们需要根据具体情况进行修正。下面是一些常见修正策略: 1. 语法错误:检查代码中的拼写错误、标点符号错误、缺失分号等。修正这些简单错误并重新运行仿真。 2. 模块连接错误:确保模块的输入输出连接正确无误。检查信号名称、宽度和方向是否一致,并确保正确的端口映射。 3. 文件路径错误:检查文件路径是否与项目文件绑定一致。Vivado可能无法找到某些文件,导致仿真错误。在项目设置中正确设置文件路径,并确保文件存在且可访问。 4. 版本兼容性:检查Vivado版本和使用的仿真工具版本是否一致。某些仿真工具可能与特定的Vivado版本不兼容,导致运行时错误。尝试使用兼容的版本或更新工具。 修正完成后,重新运行仿真,并再次检查是否出现错误。如果错误仍然存在,可以尝试与其他开发者进行讨论或咨询Xilinx技术支持来获取更多帮助。 总之,在修正[vivado 12-4473]错误时,我们需要仔细分析错误原因,并根据具体情况逐步调试和修正,以确保仿真过程顺利进行。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值