vivado tcl脚本文件建立工程和仿真,提高工作效率

最近一周一直在看tcl脚本方面的资料,整理出来我用的几个简单脚本。
第一个编译,综合等等功能。
#Vivado% cd C:/Users/GodWa/Desktop/SDK_Test/gate
#  1.2 指定一个设计文件的输出路径,所有生成的报告、结果文件等都将存放在该路径文件夹下
set outputDir ./gate/gate_Created_Data/top_output
#  1.3 生成输出路径的目标文件夹
file mkdir $outputDir
# 1.4 读取设计的Verilog源文件和XDC约束文件
read_verilog test.v
read_xdc test.xdc
#二.综合
#  2.1 对设计进行综合,需要指定目标FPGA器件型号。接下来的每一步都会反馈一个结果,如果发生错误会有警告、错误提示,可以根据提示修改源文件的程序。
synth_design -top test -part xc7z020clg400-2
# 2.2 综合后写入一个检查点。
write_checkpoint -force $outputDir/post_synth
#  2.3 生成综合后的时序报告。
report_timing_summary -file $outputDir/post_synth_timing_summary.rpt
#  2.4 生成综合后的功耗报告。
report_power -file $outputDir/post_synth_power.rpt
# 2.5 对设计进行优化,优化操作一般都需要指定一些参数。
opt_design
# 2.6 对功耗进行优化。
power_opt_design
#三.实现
#3.1 对设计进行布局。
place_design
#3.2 对布局后的设计进行逻辑物理优化。
phys_opt_design
#3.3布局后写入一个设计检查点。
write_checkpoint -force $outputDir/post_place
#3.4生成布局后的时序总结报告
report_timing_summary -file $outputDir/post_place_timing_summary.rpt
#3.5对设计进行布线。
route_design
#3.6布线后写入一个检查点。
write_checkpoint -force $outputDir/post_route
#3.7 生成布线后的时序总结报告。
report_timing_summary -file $outputDir/post_route_timing_summary.rpt
#3.8 生成布线后的时序报告。
report_timming -sort_by group -max_paths 100 -path_type summary -file $outputDir/post_route_timing.rpt
#3.9 生成布线后的利用率报告。
report_clock_utilization -file $outputDir/post_route_util.rpt
#3.10 生成布线后的功耗报告。
report_power -file $outputDir/post_imp_drc.rpt
#3.11 生成布线后的drc报告。
report_drc -file $outputDir/post_impl_drc.rpt
#3.12 写Verilog文件。
write_verilog -force $outputDir/top_impl_netlist.v
#3.13 写xdc文件。
write_xdc -no_fixed_only -force $outputDir/top_impl.xdc
#四.下载
#4.1 生成bit流文件。
write_bitstream -force $outputDir/test.bit
#4.2 打开硬件管理器。
#open_hw
#4.3 连接硬件。
#connect_hw_server
#4.4 打开硬件目标。
#open_hw_target
#4.5 分配编程文件。
#set_property PROGRAM.FILE{bit文件地址} [lindex [get_hw_devices]0]
#4.6 下载。
#program_hw_devices [lindex[get_hw_devices]0]
第二个 仿真工程的建立,仿真。
#Running behavioral simulaton using Vivado simulator
create_project project_1 -force project_1 -part xc7z020clg400-2
add_files -norecurse test.v
add_files -fileset sim_1 -norecurse test_tb.v
import_files -force -norecurse
update_compile_order -fileset sources_1
update_compile_order -fileset sim_1
launch_simulation
start_gui

  • 2
    点赞
  • 22
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Vivado是一款由Xilinx公司开发的综合布局工具,它可以用于FPGA的设计和开发。TCL(Tool Command Language)是一种脚本语言,可以用于编写Vivado脚本文件Vivado TCL脚本可以用来自动化常见的Vivado任务,简化项目的构建过程。使用TCL脚本可以消除手动执行繁琐的Vivado命令的需要,提高开发效率。TCL脚本可以实现一系列操作,如创建工程、添加IP核、设置约束、合成、实现、生成比特流等。通过编写TCL脚本,可以将这些步骤一次性执行,大大减少了错误和重复的劳动。 Vivado TCL脚本使用起来非常简单。首先,在Vivado中创建一个新的TCL脚本文件。然后,在脚本中编写Vivado命令,每个命令占一行。例如,我们可以使用以下命令创建一个新的工程: create_project my_project ./my_project 然后,我们可以使用以下命令设置约束: set_property -name {TIME_PERIOD} -value {10} [get_pins {clk}] set_property -name {CLOCK_DELAY} -value {2} [get_pins {clk}] 最后,我们可以使用以下命令执行合成和实现: synth_design -top {my_design} place_design route_design 当我们需要运行TCL脚本时,只需在VivadoTCL控制台中输入以下命令: source ./my_script.tcl Vivado将自动执行脚本中的命令,并根据脚本的内容进行操作。 总的来说,Vivado TCL脚本提供了一种高效便捷的方法来自动化Vivado项目的构建过程。通过编写和执行脚本,我们可以简化开发流程,提高开发效率。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值