Vivado和ModelSim联合仿真方法介绍(转载)

转载地址:
https://blog.csdn.net/weixin_37603007/article/details/82823965

前言:在学习Xilinx的FPGA的时候,看了很多关于vivado和modelsim的破解以及他们的联合仿真的教程,这个教程是成功的,转载记录一下。

一、vivado的破解

首先安装好Xilinx_Vivado_SDK_2018.1_0405_1,安装包可以从网上下载。只是要注意,它也是需要破解的,不过很简单,在网上下载对应版本的license即可。百度云链接:https://pan.baidu.com/s/1CtMeo81mFy4Ib4KDoehZ4Q 
提取码:62q5。载入license的步骤如下:

(1)打开vivado2018.1,点help的manage license

(2)点Get License下的Load License

                                                                         

(3)点Copy License,将准备好的license打开即可

(4)成功后点击View License Status会出现如下信息,至此vivado破解完成。

二、modelsim的破解

准备Modelsim_se_10.5的安装包,百度云链接: https://pan.baidu.com/s/1cNmln_osVgZ3zgjijcZM-A密码: hgk2,里面包含了安装包和破解文件。注意,vivado2018.1软件只支持modelsim10.5以上的版本。破解步骤如下:

(1). 安装软件,注意:安装目录避免出现中文或空格,安装过程一直点击 Yes 即可。

(2). 把 MentorKG.exe、mgls.dll以及patch64_dll.bat 一起拷贝到modelsim安装目录的win32或win64下,例如【D:\Software\Modelsim_10.5\win64】。

(3). 进入安装目录下的win64 文件夹找到mgls.dll mgls64.dll两个文件,去掉只读属性。

(4). 运行patch64_dll.bat,产生license后,放到任意目录下,例如【D:\Software\Modelsim_10.5\】。

(5). 新建用户环境变量【MGLS_LICENSE_FILE】,变量值为license放置的目录,例如:【D:\Software\Modelsim_10.5\LICENSE.TXT】。在win10中,右键此电脑,选属性,再选高级系统设置,选环境变量即可

(6). 安装破解结束。

三、联合仿真

(1)首先打开一个vivado工程,示例工程的百度云第3讲 基于XSim的逻辑仿真教程(含源文件).rar_免费高速下载|百度网盘-分享无限制。在按下图所示点击编译Xilinx的仿真库。

simulator选modelsim simulator,编译库compiled library location放入新建的文件夹D:\Xilinx\Xlib中,simulator executable path选择modelsim安装路径下的win64。注意compile xilinx IP选项不勾选。

编译结果可以从tcl console中得知,如上图所示,无Error(s)错误即可

生成如上图所示文件,要将modelsim设置为用户全局变量,那么下一次就不需要在此编译库了。步骤如下图所示,将变量名设置为MODELSIM,变量值为modelsim.ini的路径。

在设置第三方仿真软件安装的路径,以及编译库的路径,具体步骤如下三图所示。

在一切准备好后,点Run Simulation的Run Behavioral Simulation

便会自动弹出modelsim,并显示波形

  • 0
    点赞
  • 16
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值