Verilog $random用法 随机数

这篇博客介绍了Verilog中使用$random函数生成随机数的方法。通过示例,展示了如何生成-59到59,以及0到59之间整数的随机数,并提供了在指定范围[min, max]内生成随机数的公式。" 116284762,10294119,PSASP与Matlab联合仿真接口技术研究,"['电力系统', '仿真技术', 'Matlab应用', '风力发电', '光伏并网']
摘要由CSDN通过智能技术生成

“$random函数调用时返回一个32位的随机数,它是一个带符号的整形数...”,并给出了一个例子:
_____________________________________________________
EX-1:


   reg[23:0] rand;
   rand=$random%60; //产生一个在 -59—59范围的随机数

—————————————————————————

又给出了一个产生0~59之间的随机数的例子:
   reg[23:0] rand;
   rand={$random} %60; //通过位拼接操作{}产生0—59范围的随机数
—————————————————————————

 

产生一个在min, max之间随机数的例子:

EX-3:

  reg[23:0] rand;

  rand = min+{$random}%(max-min+1);

  • 1
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值