FPGA图像处理入门 ZYNQ7020 高斯滤波


前言

高斯滤波可以滤除自然界的噪声
在这里插入图片描述
使用matlab对照片添加高斯噪声

一、高斯滤波是什么?

在这里插入图片描述
这是二维高斯函数 令均值为0 方差为1 可以得到标准二维高斯

在这里插入图片描述
以之前的3×3矩阵为例 中心点为(0,0) 带入其余八个点的坐标可以得到模版值
然后进行归一化 令第一个数为1 可以得到归一化之后的模版 转换成整数
将模版值带入之后除16 即为滤波之后的值

二、FPGA实现

根据生成的模版 对3×3矩阵进行累加

always@(posedge clk)
	if(!rst_n)
		add_line <= 'd0;
	else if(matrix_de_r[0]==1'b1)
		add_line <= one_line+second_line+third_line;
	else	
		add_line <= 'd0;

之后对累加数据做除法 然后进行截位操作 因为累加数据超过输入的八位

always@(posedge clk)
	if(!rst_n)
		pre_gauss_data <= 'd0;
	else if(matrix_de_r[2]==1'b1)
		pre_gauss_data <= add_line_shift[7:0];
	else	
		pre_gauss_data <='d0;

每行数据对模版做乘法消耗一个周期
三行数据累加消耗一个周期
做除法消耗一个周期
截位消耗一个周期
共四个周期

三、仿真验证

在这里插入图片描述
可以看到消耗周期与设计一致
处理完后的图片

在这里插入图片描述
matlab验证成功


总结

主要是高斯模版的生成 使用matlab可以完成

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值