Verilog 语言在Quartus中编译出现的错误总结(二)

2 篇文章 0 订阅
2 篇文章 0 订阅

1.Error (10170): Verilog HDL syntax error at data_gen.v(29) near text "b";  expecting ";"

 原因:书写错误,逗号应该在数据类型的前面。

2.Error (10219): Verilog HDL Continuous Assignment error at data_gen.v(20): object "point" on left-hand side of assignment must have a net type

原因:使用assign 进行赋值的时候,等号的左侧只能时wire型。

 3.Warning (10229): Verilog HDL Expression warning at uart_rx.v(3): truncated literal to match 11 bits

 原因:这里会出现警告,虽然没有错误,也能正常编译,但如果不注意,很难找到错误。9600的位宽是14位,但我设置的是11位,他会按照11位的位宽给CNT赋值。

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值