Verilog学习笔记:逻辑取反与按位取反

!是逻辑操作符,其计算结果为一个1bit的值,0=假,1=真,X=不确定。

操作数≠0,等价于逻辑真,操作数=0,则等价于逻辑假。

a = 0;  !a = 1; 为真

b = 3;  !b = 0; 为假

~是按位操作符,取反, A = 4'b0011,则~A = 4'b1100。

  • 1
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值