(2)时序篇之时序约束:输入、输出信号以及寄存器之间的约束要求

本文介绍了FPGA设计中的时序约束,包括输入时序(OFFSET IN约束)、寄存器-寄存器时序(同步时钟域约束)和输出时序(OFFSET OUT约束)。重点讲解了系统同步输入和源同步输入的区别,并提供了相关约束计算公式。此外,还讨论了自动相关同步时钟域、手动相关同步时钟域和异步时钟域的处理方法。
摘要由CSDN通过智能技术生成

时序约束篇

在FPGA design内部,都是同步时序电路,各处的延时等都能够估计出来,但是FPGA内部并不知道外部的设备的时序关系。所以,Timing constraints包括为了使其正常工作,时序约束以下几点

  1. FPGA输入数据的时序要求(Input paths )
  2. FPGA内部的寄存器-寄存器时序要求(Register-to-register paths )
  3. FPGA输出信号的要求(Output paths )

  Input paths对应的是OFFSET IN约束,即输入数据和时钟之间的相位关系。

 Register-to-register paths 对应的是整个FPGA design的工作时钟。如果只有一个时钟,那么只需要指定输入的频率即可。如果有多个时钟,这些时钟是通过DCM,MMCM,PLL生成的,那么显然ISE知道这些时钟之间的频率、相位关系,所以也不需要我们指定。如果这些不同的时钟是通过不同的引脚输入的,ISE不知道其相位关系,所以指定其中一个为主时钟,需要指定其间的相位关系。

 Output paths对应的是OFFSET OUT 约束,和OFFSET IN约束很类似,不过方向相反。

1.输入时序约束

  输入时序约束控制外部引脚到内部模块的路径。采用OFFSET IN来指出输入时序约束。主要是两类不同的输入方式,系统同步输入和源同步输入。(对于SDR和D

  • 1
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

单片机探索者bea

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值