Systemverilog(绿皮书)第六章——随机化(二)约束块控制

约束的时候通过约束块控制,实现 冲突约束的不同 情况下的通过。

class Packet;
    rand int length;
//进行两个冲突的约束length
    constraint c_short {length inside [1:32];}

    constraint c_long  {length inside [1000:1023];}
endclass

Packet p;
initial begin
    p = new();

//Create a long packet by disabling short constraint
    p.c_short.constraint_mode(0);
    assert(p.randmize());
    transmit(p);

//Create a short packet by disabling all constraints then enabling only the shart constraint
    p.constraint_mode(0);
    p.c_short.constraint_mode(1);
    assert(p.randomize());
    transmit(p);
end

问题:随机化对象,不禁止任何一个约束块,那么调用随机函数randomize()函数之后,  p.length可能 会是多少呢?0

class Transaction;
    rand bit [31:0] addr, data;
    constraint c
  • 2
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值